搜档网
当前位置:搜档网 › 计算机组成原理实验报告册

计算机组成原理实验报告册

计算机组成原理实验报告册
计算机组成原理实验报告册

实验一监控程序与汇编实验

实验时间:第周星期年月日节实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

1)了解教学计算机的指令格式、指令编码、选择的寻址方式和具体功能。

2)了解汇编语言的语句与机器语言的指令之间的对应关系,学习用汇编语言设计程序的过程和方法。

3)学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地位和作用。

2、实验平台

硬件平台:清华大学TEC-XP实验箱的MACH部分

软件平台:监控程序、PC端指令集仿真软件

3、实验要求

1)学习联机使用TEC-XP 教学实验系统和仿真终端软件;

2)使用监控程序的R 命令显示/修改寄存器内容、D 命令显示存储器内容、E 命令修改存储器内容;

3)使用A 命令写一小段汇编程序,使用U命令观察汇编码与机器码之间的关系,用G 命令连续运行该程序,用T命令单步运行并观察程序单步执行情况。

**代码不得写到0000——1FFF的地址单元中,如有违反将被取消当堂成绩

4、操作步骤及实验内容

1)实验箱功能开关设置及联机操作:

1. 将实验箱COM1口与PC机相连;

2. 设置功能状态开关为00110;

3. 于PC端运行;

4. 按RESET,START键,若PC端出现如下输出(如图所示),则操作成功;

2)仿真软件相关操作:

1. 在项目文件夹找到并启动;

2. 点击文件-启动监控程序;

4.若PC端出现如下输出(如图所示),则操作成功;

3)理解下列监控命令功能:

A、U、G、R、E、D、T

1. A命令:完成指令汇编操作,把产生的指令代码放入对应的内存单元中,可连

续输入。不输入指令直接回车,则结束A命令(如图所示);

2. U命令:从相应的地址反汇编15条指令,并将结果显示在终端屏幕上(如图所

示);

注:连续使用不带参数的U命令时,将从上一次反汇编的最后一条语句之后接着继续反汇编。

3. G命令:从指定(或默认)的地址运行一个用户程序(如图所示);

4. R命令:显示、修改寄存器内容,当R命令不带参数时,显示全部寄存器和状

态寄存器的值(如图所示);

5. E命令:从指定(或默认)地址逐字显示每个内存字的内容,并等待用户打入

一个新的数值存回原内存单元(如图所示);

6. D命令:从指定(或默认)地址开始显示内存120个存储字的内容(如图所示);

7. T命令:从指定地址(或当前地址)开始单条指令方式执行用户程序(如图所

示);

4)编程中不能随意使用的寄存器及他们分别表示什么含义:

1. R4:R4是操作系统的栈顶指针,指向堆栈的顶部;

2. R5:R5是程序寄存器,里面的值总是指向当前程序运行点的地址;

5)根据所学知识编写:从键盘输入一个数字,则计算该值到10的累加和,结果存入R2中。

1. 通过A命令编写程序(强烈建议同学们自己思考编程,不要直接照搬代码,);

2. 通过G命令运行程序;

我们看到R2的值为37(十六进制),程序运行成功。

实验二脱机运算器实验

实验时间:第周星期年月日节实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

1)理解运算器芯片Am2901的功能和内部组成,运行中使用的控制信号及各自的控制作用;

2)理解4片Am2901构成16位运算器的具体方案,各数据位信号、各控制位信号的连接关系;

3)使用24位微型开关中的23位控制信号确定运算与处理功能。

2、实验平台

硬件平台:清华大学TEC-XP实验箱的AM2901运算器部分

软件平台: PC端脱机运算器仿真程序

3、实验要求

1)了解TEC-XP运算器基本组件2901的工作原理;

2)了解TEC-XP实验箱是如何使用4片2901构成16位运算器;

3)了解并掌握24位控制开关相应的序列所实现的控制功能;

4)基于以上所学完成ppt最后要求的实验内容(控制信号表格)。

4、操作步骤及实验内容

1) 实验方式功能开关设置为:

1XX00

2) 运算器芯片为,该芯片为几位的芯片:

Am2901 4片4位芯片

3) 运算器四个标志位C、Z、V、S分别为什么含义:

C:进位标志位,C=1时表示有进位

Z:零标志位,Z=1时表示运算结果为0

V: 溢出标志位,V=1时表示溢出

S:符号标志位,S=1时表示为负

4)完成表格中操作,写出每部操作对应的指令,观察每部操作与控制信号的关系:1.启动PC端脱机运算器仿真程序

2.输入指令编码

注:在实验箱上,ALU输出不需要触发,而软件模拟器则需要鼠标点击触发,故表中压START前的ALU输出对应的是软件压START后的ALU输出

a.

b.

c.

d.

e.

f.

g.

图h.

图i.

图j.

图k.

图5)完成以下操作,并将控制信号添到表中:

注:脱机运算器模拟程序只能输入4为二进制数,故5)在此不予演示。

实验三存储器扩展实验

实验时间:第周星期年月日节

实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

1)深入理解计算机内存储器的功能、组成知识;

2)深入地学懂静态存储器芯片的读写原理和用它们组成教学计算机存储器系统的方法(即字、位扩展技术),控制其运行的方式;

注意:思考并对比静态和动态存储器芯片在特性和使用场合等方面的同异之处。2、实验平台

硬件平台:清华大学TEC-XP实验箱、扩展芯片HN58C65p—25

软件平台:监控程序、PC端指令集仿真软件

3、实验要求

1)完成硬件跳线连接、芯片扩充

2)掌握RAM和EEPROM在存储上的区别

3)完成验证代码编写

4、操作步骤及实验内容

1)实验功能开关设置及联机操作步骤(参考实验一);

00110

2) 完成HN58c65p-25两片EEPROM的物理扩展;

3)完成必要的跳线设置,课堂中扩展的EEPROM的地址范围为;

4000-5FFF

4)用监控程序的 D、E命令对存储器进行读写,比较 RAM(6116)、EEPROM(28系列芯片)存储性质上的异同,给出结果描述,并解释原理;

用E命令对RAM和EEPROM写入后,关闭电源,再打开电源,用D命令查看写入的内容,RAM在断电后不保存写入的内容,而EEPROM保存。

注:在模拟器环境下无法分辨二者区别,故在此不予演示。

5)用监控程序的 A命令分别对RAM(6116)和扩展存储器 EEPROM(28 系列芯片)

进行读写,用U命令查看结果是否正确,如不正确,分析原因;

对于RAM来说正确,对于ROM来说不正确

原因:ROM读写比较缓慢,当一次烧录2个地址单元的内容时,第二个地址单元烧录不成功,便执行下一个地址单元了,因此为了烧录成功,需要在写入操作时,每写一个地址单元,等待1ms。

注:在模拟器环境下二者皆烧录正确,故在此不予演示。

6)基于以上所学完成:从键盘上输入一个0-9的数字,将从该数字开始到F的所有数据存储到扩展之后的5000开始的EEPROM存储器单元中。

运行程序后,我们用D命令查看,数据已经存入;

实验四中断实验

实验时间:第周星期年月日节实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

理解中断的原理,学习和掌握中断产生、响应、处理等技术,其中主要涉及到如下几点:

1)中断源的捕捉

2)中断向量表的查询

3)中断服务处理程序(ISR)

2、实验平台

硬件平台:清华大学TEC-XP实验箱的右下角中断按钮部分

软件平台:监控程序、PC端中断实验仿真系统

3、实验要求

1)了解中断的原理

2)了解向量中断和非向量中断的区别

3)了解中断源、中断向量表以及中断服务处理程序之间的联系

4)搞清楚三个中断源的优先级,以及中断的嵌套规则

4、操作步骤及实验内容

1)实验功能开关设置;

00010

2)了解中断源按键在TEC—XP实验系统的位置,说明三个中断源的优先级(按左中右);

优先级左>中>右

3)给出三个中断源对应在中断向量表的地址;

左:240CH :JR 2460

中:2408H :JR 2440

右:2404H :JR 2420

4)解释三条扩展指令的使用方法和写法EI,IRET和DI;

EI:开中断指令,用E命令写入 6E00(如图)

IRET:中断返回指令,用E命令写入 EF00(如图)

DI:关中断指令,用E命令写入 6F00(如图)

5)读懂实验ppt中的中断实例程序,编写程序实现如下内容:

a 主程序循环显示字母'M',如果捕捉到中断源1的时候在屏幕循环显示数字1;捕

捉到中断源2的时候在屏幕上循环显示数字2;捕捉到中断源3的时候在屏幕上循环显示数字3;

b 并且需要实现在中断显示的过程中,如果按下任意键则中断返回到上一级程序继续运行;

c 实验以优先级为主要依据的嵌套

d自己编写三段中断服务子程序,不允许存在重叠(即避免使用事例程序2450地址处的程序)

(1)编写主程序

(2)编写第一段中断子程序

(3)编写第二、三段中断子程序(似第一段)

(4)编写第二、三段中断子程序(似第一段)

程序运行成功

实验五 I/O接口扩展实验

实验时间:第周星期年月日节实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

学习串行口的正确设置与使用,通过实验箱的两个串口实现两台PC的通讯

2、实验平台

硬件平台:清华大学TEC-XP实验箱的I/O接口部分

软件平台:监控程序

3、实验要求

1)按照实验要求必要的连线

2)扩展另外一片8251串口芯片

3)根据实验ppt完成必要的跳线设置,确定扩展I/O口的地址

4)根据实验要求编写代码

注:串口禁止带电插拔!!!

4、操作步骤及实验内容

1)在对应的IC座上扩展一条双排直插的8251芯片

2)完成必要的连线,将芯片旁边的/CS与标有I/O /CS的一排插孔的一个相连,确定扩展I/O的地址,给出地址及描述地址对应的寄存器名称:

扩展I/O地址为A0H-AFH;

对应寄存器地址A0-AF

3)扩展串口在使用之前的初始化代码

4)判定一台PC是否有键输入,如果有则在另一台PC上显示出来完成以下两种模式的通讯:

a 两台PC连接在同一台实验箱上

1> PC1输入PC2输出

2>PC2输入PC1输出

3>同时实现PC1和PC2的双向输入输出

4>猜数游戏:PC1输入一个0-9的数,PC2进行数字输入猜数,如果等于PC1输入的数字则程序输出M(Match)程序结束,如果大于则输出H(High),PC2继续输入,如果小于则输出L(Low),PC2继续输入。

注:拓展I/O在模拟器环境下暂无法提供实验结果

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理实验

计算机组成原理上机实验指导

一、实验准备和实验注意事项 1.本课程实验使用专门的TDN-CM++计算机组成原理教学实验设备,使用前后均应仔细检查主机板,防止导线、元件等物品落入装置导致线路短路、元件损坏。 2.完成本实验的方法是先找到实验板上相应的丝印字及其对应的引出排针,将排针用电缆线连接起来,连接时要注意电缆线的方向,不能反向连接;如果实验装置中引出排针上已表明两针相连,表明两根引出线部已经连接起来,此时可以只使用一根线连接。 3.为了弄清计算机各部件的工作原理,前面几个实验的控制信号由开关单元“SWITCH UNIT”模拟输入;只有在模型机实验中才真正由控制器对指令译码产生控制信号。在每个实验开始时需将所有的开关置为初始状态“1”。 4.本实验装置的发光二极管的指示灯亮时表示信号为“0”,灯灭时表示信号为“1”。 5.实验接线图中带有圆圈的连线为实验中要接的线。 6.电源关闭后,不能立即重新开启,关闭与重启之间至少应有30秒间隔。 7.电源线应放置在机专用线盒中。 8.保证设备的整洁。

二、实验设备的数据通路结构 利用本实验装置构造的模型机的数据通路结构框图如下图。其中各单元部已经连接好,单元之间可能已经连接好,其它一些单元之间的连线需要根据实验目的用排线连接。 图0-2 模型机数据通路结构框图

实验一运算器实验:算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构; 2.掌握运算器的工作原理; 3.掌握简单运算器的数据传送通路。 4.验证运算功能发生器(74LSl81)的组合功能。 二.实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 三.实验原理 实验中所用的运算器数据通路如图1-l所示。其中两片74LSl81以串行方式构成8位字长的ALU,ALU的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-B控制,控制运算器运算的结果能否送往总线,低电平有效。 为实现双操作数的运算,ALU的两个数据输入端分别由二个锁存器DR1、DR2(由74LS273实现)锁存数据。要将数据总线上的数据锁存到DR1、DR2中,锁存器的控制端LDDR1和LDDR2必须为高电平,同时由T4脉冲到来。 数据开关(“INPUT DEVICE”)用来给出参与运算的数据,经过三态门(74LS245)后送入数据总线,三态门由SW-B控制,低电平有效。数据显示灯(“BUS UNIT”)已和数据总线相连,用来显示数据总线上的容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将“W/R UNIT”的T4接至“STATE UNIT”的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲。 ALU运算所需的电平控制信号S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU-B、SW-B均由“SWITCH UNIT”中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B为低电平有效,LDDRl、LDDR2为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

计算机组成原理选择题及答案

1. 冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是() A. 指令操作码的译码结果 B. 指令和数据的寻址方式 C. 指令周期的不同阶段 D. 指令和数据所在的存储单元 答案为:C 2. 假定变量i,f,d数据类型分别为int, float, double(int用补码表示,float和double用IEEE754单精度和双精度浮点数据格式表示),已知i=785,f=1.5678e3 ,d=1.5e100,若在32位机器中执行下列关系表达式,则结果为真的是() (I) i==(int)(float)i (II)f==(float)(int)f (III)f==(float)(double)f (IV)(d+f)-d==f A. 仅I和II B. 仅I和III C. 仅II和III D. 仅III和IV 答案B 3.一个C语言程序在一台32位机器上运行。程序中定义了三个变量x,y和z,其中x和z 是int型,y为short型。当x=127,y=-9时,执行赋值语句z=x+y 后,x、y和z的值分别是: A x=0000007FH , y=FFF9H , z=00000076H B x=0000007FH , y=FFF9H , z=FFFF0076H C x=0000007FH , y=FFF7H , z=FFFF0076H D x=0000007FH , y=FFF7H , z=00000076H 答案D 4. 某计算机主存容量为64KB,其中ROM区为4KB,其余为RAM区,按字节编址,现要用2K×8位的ROM芯片和4K×4位的RAM芯片来设计该存储 器,则需要上述规格的ROM芯片数和RAM芯片数分别是() A . 1、15 B . 2、15 C . 1、30 D . 2、30 答案D 5. 假定用若干个2K×4位芯片组成一个8K×8位的存储器,则地址0B1FH所在芯片的最小地址是() A. 0000H B. 0600H C. 0700H D. 0800H 答案D

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理选择题200道

【选择题200道】 1. 计算机系统中的存贮器系统是指__D____。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 2. 某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小 数为___ B __ 。 -32 -31 -32 -31 A+( 1 - 2 ) B + (1 - 2 ) C 2 D 2 3. 算术/ 逻辑运算单元74181ALU可完成_C ________ 。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4. 存储单元是指_B ______ 。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5. 相联存贮器是按—C―行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方 式 D 地址方式与堆栈方式 6. 变址寻址方式中,操作数的有效地址等于_C _____ 。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7. 以下叙述中正确描述的句子是:__AD ___ 。 A 同一个CPU周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU周期中,不可以并行执行的微操作叫相斥性微操作 & 计算机使用总线结构的主要优点是便于实现积木化,同时_C_____ 。

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理练习题及参考答案

1.10111000当做无符号数的值为多少,当做整数的值为多少,当做定点小数的值为多少?(十进制数) 无符号:2^7+2^5+2^4+2^3=128+32+16+8=184 整数:10111000 定点小数:10111000 11000111(取反) 11000111(取反) + 1 + 1 11001000 11001000 -(2^3+2^6)=-72 -(1/2+1/16)=-9/16 2.已知接受到的信息为001100001111,其中有效数据位为8位,运用海明码检测,问信息传输是否有错?8位的数据值是多少? 编号 检测位 数据位 12 1100 0 M8 C1=M1⊕M2⊕M4⊕M5⊕M7=0 11 1011 0 M7 C2=M1⊕M3⊕M4⊕M6⊕M7=0 10 1010 1 M6 C4=M2⊕M3⊕M4⊕M8=0 9 1001 1 M5 C8=M5⊕M6⊕M7⊕M8=0 8 1000 0 C8 7 0111 0 M4 发:0111 6 0110 0 M3 收:0000 5 0101 0 M2 发 ⊕收=0111 4 0100 1 C4 即M4出错则数据实为00111001 3 0011 1 M1 2 0010 1 C2 1 0001 1 C1 3.已知原始报文为1111,生成多项式为G (x )=x 4+x 2 +x+1,求编码后的报文 (1):将生成多项式为G (x )=x 4+x 2 +x+1,转换成对应的二进制为10111 (2)生成多项式为5(R+1)位,将原始报文左移4(R)位为11110000 (3)进行模2除 _______00011__________ ______ 10111________________00010100_____________10111_______________010010________ 10111_____1101 11110000 10111 (4)编码CRC 码为11110011 4.采用IEEE754标准的32位短浮点数格式,即0-22位为尾数,23-30位为阶码位,第1位为数符,其中阶码偏置为127,试求出32位浮点代码CC9E23AF 的真值(结果可用任何进

计算机组成原理--实验七--脱机方式下微代码装入与执行实验

实验七脱机方式下微代码装入与执行实 验 一、实验目的 (1)通过微程序的编制、装入、执行,验证微程序控制的工作方法。 (2)观察微程序的运行过程,未进行简单模型计算机实验作准备。 二、实验原理 (1)时序信号 (2)指令与微指令周期 (3)机器指令与机器指令周期 (4)微程序控制器逻辑结构 (5)微程令流程分析 (6)微程令译码分析 三、实验过程 (1)连线 ①把时钟单元(CLOCK UNIT)的T1-T4接到微程序控制单元(MAIN CONTROL UNIT)的T1-T4。用另一根线把时钟单元(CLOCK UNIT)的T4接到微程序控制单元(MAIN CONTRO UNIR)的T4。 ②把手动控制开关单元(MANUAL UNIT)的MA6-MA0接到微程序 控制单元(MAIN CONTROL UNIT)的MA6-MA0。 (2)写入伪代码操作过程

①拨动一下开关,即实现“1—0—1”,产生一个清除脉冲,使微 程序控制单元(MAIN CONTROL UNIT)初始化。 ②把微程序控制单元(MAIN CONTROL UNIT)右上角的编程开关置 于“READ”状态 ③把时钟单元(CLOCK UNIT)的RUN/STEP开关置于“STEP”状态。 ④在手动控制单元(MANUAL UNIT)的MA6-MA0开关上拨入微控 制存储器地址开关MA6—MA0,按表2-15从00H开始。 ⑤在微程序控制单元(MAIN CONTROL UNIT)的微指令代码开关 MK23-MK0上按表2-15的内容拨入24位的微指令,微指令代码显示灯上显示拨入的微指令代码。 ⑥按动时钟单元(CLOCK UNIT)的“START”按键,产生一组时序信 号(T1—T4),作用是把微指令代码开关MK23-MK0上的24位的微指令代码希尔与MA6—MA0指定的微程序控制存储器(2816)单元中,并显示MA6—MA0微程序控制存储器地址。 ⑦把MA6—MA0开关上微控至存储器地址加1,变成01H, 02H,………,重复上面第(5)、第(6)两步直接把表2-15中微指令代码全部写入微程序控制储存器(2816)中。 (3)校验微代码操作过程 ①拨动一下开关,即实现“1—0—1”,产生一个清除脉冲,使微 程序控制单元(MAIN CONTROL UNIT)初始化。 ②把微程序控制单元(MAIN CONTROL UNIT)右上角的编程开关置 于“READ”状态,确保RUN/STEP开关置于“STEP”状态。

计算机组成原理 实验八 简单模型计算机实验

实验八 简单模型计算机实验 一、实验目的 1)通过实验分析简单模型机结构,了解计算机的工作原理。 2)掌握计算机微程序控制器的控制方法,掌握计算机指令执行过程 二、实验原理 基本整机模型数据框图如图所示,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 数据的通路从程序计数器PC的地址送到主存的地址寄存器,根据地址寄存器的内容找到相应的存储单元。 存储器中的数据是指令时,那么数据是从RAM送到总线,再从总线送到IR 中。 存储器中的数据是需要加工的数据时,那么数据是从RAM送到总线,再动总线送到通用寄存器中等待加工。 数据加工过程中,两个数据是从总线上将数据分别分时压入两个暂存器中,等待运算部件的加工,在数据加工完成以后。运算结果是通过三太门送到总线上。 三态门的控制时由微控制器来控制。

图:模型机的数据通路图 三、实验过程 1.连线 按实验逻辑原理图连接以下控制信号。 1)时钟单元(CLOCK UNIT)的T1-T4接到微程序控制单元(MAIN CONTROL UNIT)

的T1-T4. 2)手动控制开关单元(MANUAL UNIT)的KA ,KB接到指令单元(INS UNIT) 的KA,KB。 3)指令单元(INS UNIT)的J(1)-J(5)、SE6-SE0、B-IR 接到的微程序控制单 元(MAIN CONTROL UNIT)的J(1)-J(5)、SE6-SE0、B-IR。 4)输入/输出单元(INPUT/OUTPUT UNIT)IO-W,IO-R接到微程序控制单元(MAIN CONTROL UNIT)的IO-W,IO-R,Ai接到地址单元(ADDRESS UNIT)的A0. 5)主存储器单元(MEM UNIT)M-W、M-R接到微程序控制单元(MAIN CONTROL UNIT)的M-W、M-R,A7-A0 接到地址单元(ADDRESS UNIT)的A7-A0. 6)地址单元(ADDRESS UNIT)的B-AR、B-PC、PC+1、PC-B接到微程序控制单元 (MAIN CONTROLUNIT)的B-AR、B-PC、PC+1、PC-B. 7)通用寄存器单元(REG UNIT)的B-R、R0-B 接到微程序控制单元(MAIN CONTROL UNIT)的B-DR、DR-B。 8)把算术逻辑单元(ALU UNIT)的B-DA1、B-A2、ALU-B Cycn、CyNCn、S3-S0、 M、Ci接到微程序控制单元(MAIN CONTROL UNIT)的B-DA1、B-A2、ALU-B、Cycn、CyNCn、S3-S0、M、Ci。 2.写入、检验微代码 这项操作与实验七的操作过程相同 3.装入机器指令汇编程序操作 1)微程序控制单元(MAIN CONTROL UNIT)编程开关置于“RUN”状态。 2)手动控制开关单元(MANUAL UNIT)的“STEP/RUN”开关置于“STEP”状态。 3)手动控制开关单元(MANUAL UNIT)的KA,KB开关置于1、0写主存储器状 态。 4)拨动开关从11—0—1,产生一个负脉冲,清零程序计数器PC、微地址 寄存器。 5)拨动“START”按钮一次,从微程序控制存储器的00H微地址开始执行微指 令,暂停在0AH微地址处。 6)此时在输入数据开关上拨入实验用机器指令汇编程序表中对应00H地址的

计算机组成原理选择题

A.-127 ~127;B.-128 ~+128;C.-128 ~+127;D.-128 ~+128。 2.设机器数采用补码形式(含1位符号位),若寄存器内容为9BH,则对应的十进制数为______。A.-27;B.-97;C.-101;D.155。答案: 3.设寄存器内容为80H,若它对应的真值是–127,则该机器数是______。 A.原码;B.补码;C.反码;D.移码。答案: 4.若9BH表示移码(含1位符号位).其对应的十进制数是______。 A.27;B.-27;C.-101;D.101。答案: 5.当定点运算发生溢出时,应______ 。 A.向左规格化;B.向右规格化;C.发出出错信息;D.舍入处理。答案: 6.设寄存器内容为10000000,若它等于-0,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 7.设寄存器内容为11111111,若它等于+127,则为______。 A.原码;B.补码;C.反码;D.移码。答案: 8.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同;B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同;D.阶符与数符不同。答案: 9.浮点数的表示范围和精度取决于______ 。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数;D.阶码的机器数形式和尾数的机器数形式。答案: 10. 在定点补码运算器中,若采用双符号位,当______时表示结果溢出。 A.双符号相同B.双符号不同C.两个正数相加D.两个负数相加答案:

《计算机组成原理》实验七、综合实验

实验七综合设计 一.实验目的: 1、掌握程序的结构。 2、掌握程序的设计、调试方法。 二.实验内容: 假设有一组数据:5,-4,0,3,100,-51,请编一程序,判断:每个数大于0,等于0,还是小于0;并输出其判断结果。 即: 1 当x>0 y= 0 当x=0 -1 当x<0 DA TA SEGMENT X DB -25 Y DB ? DA TA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DA TA START:MOV AX,DA TA MOV DS,AX ;初始化 MOV AL,X ;X取到AL中 CMP AL,0 ;AL中的内容和0比较 JGE BIG ;大于等于0,转BIG MOV BL,-1 ;否则为负数,-1送BL JMP EXIT ;转到结束位置 BIG: JE EE ;AL中的内容是否为0,为0转EE MOV BL,1 ;否则为在于0,1送BL JMP EXIT ;转到结束位置 EE: MOV BL,0 ;0送BL中 EXIT: MOV Y,BL ;BL中内容送入Y单元 MOV AH,4CH INT 21H ;程序结束 CODE ENDS END START ;汇编结束

三.实验要求: 实验前要做好充分准备,包括汇编程序清单、调试步骤、调试方法,以及对程序结果的分析等。 四.编程提示: 1、首先将原始数据装入起始地址为XX的字节存储单元中。 2、将判断结果以字符串的形式存放在数据区中,以便在显示输出时调用。 3、其中判断部分可采用CMP指令,得到一个分支结构,分别输出“y=0”, “y=1”, “y=-1”。 4、程序中存在一个循环结构,循环6次,调用6次分支结构后结束。 五.思考题: 程序中的原始数据是以怎样的形式存放在数据区中的?请用DEBUG调试程序观察并分析。 六.实验报告: 1、程序说明。说明程序的功能、结构。 2、调试说明。包括上机调试的情况、上机调试步骤、调试所遇到的问题是如何解决的,并对调试过程中的问题进行分析,对执行结果进行分析。 3、画出程序框图。 4、写出源程序清单和执行结果。 5、回答思考题。

计算机组成原理练习题

填空题 1、存储器容量为256K,若首地址为00000H,则末地址为。 2、若某奇偶校验码编码为010000100,则采用的校验方案是。 3、DRAM存储器行、列地址要分两次打入,为了实现行、列地址的区分,需要给存储芯片提供地址选通信号和。 4、存储器容量的扩展有、和三种方式。 5、假设某计算机的存储系统由Cache和主存组成,某程序执行过程中访存1000次,其中访问Cache缺失(未命中)50次,则Cache的命中率是。 6、操作数有效地址出现在地址码位置的寻址方式称为寻址。 7、任何指令周期的第一步必定是周期。 8、当产生中断请求时,用程序方式有选择地封锁部分中断,而允许其余部分中断仍能得到响应,称为。 9、通常根据流水线使用级别的不同,可把流水线分成部件级、处理机级和系统级流水线,指令处理流水线属于级。 10、从计算机系统结构的发展和演变看,近代计算机采用以作为全机中心的系统结构。 11、十进制数-54表示成补码形式为(用1个符号位,7个数值位表示)。 12、磁表面存储器是以作为记录信息的载体,通过对信息进行记录和读取。 13、存储器间接寻址方式指令执行过程中,除取指外CPU还需要访问内存次才能获得操作数。 14、组成32M×8位的存储器,需要1M×4位的存储芯片片。 15、微指令格式分为型微指令和型微指令,其中,前者的并行操作能力比后者强。 16、在CPU中,存放后继指令地址的寄存器是。 17、若X的原码为01000011,其补码为,其移码为。 18、总线的仲裁方式有和两种。 19、引起中断的设备或事件称为。 20、虚拟存储器指的是__________层次,它给用户提供了一个比实际__________空间大得多的__________空间. 21、运算器的两个主要功能是:__________,__________。 22、计算机硬件由_______、_______、存储器、输入设备和输出设备五大部件组成。 23、奇偶校验法只能发现_______数个错,不能检查无错或_______数个错。 24、八进制数37.4Q转换成二进制数为__________。 25、数x的真值-0.1011B,其原码表示为____________。 26、条件转移、无条件转移、转子程序、返主程序、中断返回指令都属于__________类指令,这类指令在指令格式中所表示的地址不是__________的地址,而是__________的地址。27、直接内存访问(DMA)方式中,DMA控制器从CPU完全接管对__________的控制,数据交换不经过CPU,而直接在内存和__________之间进行。 28、RISC的中文含义是__________,CISC的中文含义是__________。 对于n+1位(包含一位符号位)的补码纯小数来说,它能表示的最小数据是。 29、、控制器产生控制信号的方法有与,其中需要有控制存储器支持的是。

计算机组成原理实验6

第六节 CPU组成与机器指令执行实验 一、实验目的 (1)将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机; (2)用微程序控制器控制模型机数据通路; (3)通过CPU运行九条机器指令(排除中断指令)组成的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念。 二、实验电路 本次实验用到前面四个实验中的所有电路,包括运算器、存储器、通用寄存器堆、程序计数器、指令寄存器、微程序控制器等,将几个模块组合成为一台简单计算机。因此,在基本实验中,这是最复杂的一个实验,也是最能得到收获的一个实验。 在前面的实验中,实验者本身作为“控制器”,完成数据通路的控制。而在本次实验中,数据通路的控制将由微程序控制器来完成。CPU从内存取出一条机器指令到执行指令结束的一个机器指令周期,是由微指令组成的序列来完成的,即一条机器指令对应一个微程序。 三、实验设备 (1)TEC-9计算机组成原理实验系统一台 (2)双踪示波器一台 (3)直流万用表一只 (4)逻辑测试笔一支 四、实验任务 (1)对机器指令系统组成的简单程序进行译码。 (2)按照下面框图,参考前面实验的电路图完成连线,控制器是控制部件,数据通路(包括上面各模块)是执行部件,时序产生器是时序部件。连线包括控制台、时序部分、数据通路和微程序控制器之间的连接。其中,为把操作数传送给通用寄存器组RF,数据通路上的RS1、RS0、RD1、RD0应分别与IR3至IR0连接,WR1、WR0也应接到IR1、IR0上。 开关控制 控制台时序发生器 时序信号 开关控制指示灯信号控制信号时序信号 控制信号 微程序控制器数据通路 指令代码、条件信号

计算机组成原理选择题

计组选择题 第一次作业: 第二十题:在机器数( B )中,零的表示形式是唯一的。 A.原码 B.补码 C.移码 D.反码 第二十一题:浮点数的表示范围和精度取决于( C )。 A.阶码的位数和尾数的机器数形式; B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数; D.阶码的机器数形式和尾数的机器数形式。 第二十二题:冯·诺伊曼机工作方式的基本特点是( B )。 A.多指令流单数据流; B.按地址访问并顺序执行指令; C.堆栈操作; D.存储器按内容选择地址。 第二次作业: 第五题:指令系统中采用不同寻址方式的目的是( D )。 A.提高从内存获取数据的速度 B.提高从外存获取数据的速度 C.降低操作码的译码难度 D.扩大寻址空间并提高编程灵活性 第七题: 中央处理器(CPU)包含( C )。 A.运算器 B.控制器 C.运算器、控制器和cache D.运算器、控制器和主存储器 第十三题:某一RAM芯片其容量是512*8位,除电源和接地端外,该芯片引线的最少数目是( B ) A.20 B.19C.18 D.17

第二十二题:Cache的地址映像中,若主存中的任一块均可映射到Cache内的任一块的位置上,称作( B )。 A.直接映像; B.全相联映像; C.组相联映像; D.其它映像。 第三次作业: 第七题:用串行接口7位ASCII码传送,带有1位奇校验位、1位起始位和1位停止位,当波特率为9600波特时,字符传送速率为( A )。 A、960 B、873 C、1371 D、480 第八题:同步通信之所以比异步通信具有较高的传输频率,是因为同步通信( C )。 A、不需要应答信号 B、总线长度较短 C、用一个公共时钟信号进行同步 D、各部件存取时间比较接近 第十题: 系统总线中控制线的功能是( A )。 A.提供主存、I/0接口设备的控制信号和响应信号 B.提供数据信息 C.提供时序信号 D.提供主存、I/0接口设备的响应信号 第二十题:在集中式总线仲裁中,( B )方式响应时间最快,( A )方式对( C )最敏感。 A、菊花链方式 B、独立请求方式 C、电路故障 D、计数器定时查询方式 第二十三题:在集中式总线仲裁中,( C )方式响应时间最快。 A.链式查询 B.计数器定时查询 C.独立请求 D.以上方式一样快 第二十四题:系统总线中地址线的功能是( D )。 A、选择主存单元地 B、选择进行信息传输的设备 C、选择外存地址 D、指定主存和I/0设备接口电路的地址

计算机组成原理选择题1

【章节】第一章计算机系统概述 1.运算器的核心部件是。 A.数据总线 B.数据选择器 C.累加寄存器 D.算术逻辑运算部件答案:D 2.存储器主要用来。 A.存放程序 B.存放数据 C.存放微程序 D.存放程序和数据答案:D 3.对计算机软、硬件资源进行管理,是的功能。 A.操作系统 B.数据库管理系统 C.语言处理程序 D.用户程序答案:C 4.电子计算机的算术/逻辑单元、控制单元及主存储器合称为______。 A.CPU B.ALU C.主机 D.UP 答案:C 5.输入、输出装置以及外接的辅助存储器称为______。 A.操作系统 B.存储器C.主机 D.外围设备答案:D 6.下列______属于应用软件。 A.操作系统 B.编译程序C.连接程序 D.文本处理答案:D 7.冯·诺伊曼机工作方式的基本特点是______。 A.多指令流单数据流;B.按地址访问并顺序执行指令; C.堆栈操作;D.存储器按内容选择地址。答案:B 8.计算机硬件能直接执行的只能是。 A.符号语言 B.机器语言 C.汇编语言 D.机器语言和汇编语言答案:B 9.由0、1代码组成的语言称为______。 A.汇编语言 B.人工语言 C.机器语言 D.高级语言答案:C 10.计算机的算术逻辑单元和控制单元称为______。 A.ALU B.UP C.CPU D.CAD 答案:C 11.计算机操作的最小单位时间是______。 A.时钟周期;B.指令周期;C.CPU周期;D.中断周期。答案:A 12.存储字长是指______。 A.存放在一个存储单元中的二进制代码组合;B.存放在一个存储单元中的二进制代码位数;C.存储单元的个数;D.机器指令的位数。答案:B 13.存储单元是指______ 。 A.存放一个字节的所有存储元集合;B.存放一个存储字的所有存储元集合; C.存放一个二进制信息位的存储元集合;D.存放一条指令的存储元集合。答案:B 14.32位的个人计算机,一个字节由______位组成。 A.4;B.8;C.16;D.32。答案:B 15.一片1MB的磁盘能存储______的数据。 A. 106字节 B. 210字节 C. 109字节 D. 220字节答案:D 【章节】第三章系统总线 1.系统总线上的信号有______。 A. 地址信号 B. 数据信号、控制信号 C. 控制信号 D. 数据信号、控制信号、地址信号答案:D 2.总线中地址线的作用是______。 A.只用于选择存储器单元;B.由设备向主机提供地址; C.用于选择指定存储器单元和I/O设备接口电路的地址; D.即传送地址又传送数据。答案:C 3.总线的异步通信方式______。 A.不采用时钟信号,只采用握手信号;B.既采用时钟信号,又采用握手信号; C.既不采用时钟信号,又不采用握手信号; D.既采用时钟信号,又采用握手信号。答案:A

计算机组成原理实验报告

实验一8位程序计数器PC[7:0]的设计 实验要求: 1.分别用图形方式和V erilog HDL语言设计8位程序计数器,计数器带有复位,计数,转移功能。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用图形方式设计实现8位程序计数器,用到了两个74LS161四位十六进制计数器,主要步骤是两个四位十六进制计数器的串联,低四位计数器的进位端RCO连到高四位计数器的进位使能端ENT,然后连上reset、clk、ir[7:0]、t[1:0]、pc[7:0]、rco等输入输出信号,最后加上转移控制逻辑即可。注意两个十六进制计数器是同步的,具体参见PC_8bit.gdf文件。 2.编译通过,建立波形仿真文件,设置输入信号参数。注意在一张图中同时实现复位(reset低位有效)、计数、转移功能,最后加上一些文字注释即可,具体参见PC_8bit.scf文件。 3.用V erilog HDL语言设计实现8位程序计数器。在已经实现.gdf文件的基础上使用库函数形式是很容易编写出.v文件的,不过学生选择了行为描述方式实现,因为后者更具有通用性,依次实现8位程序计数器的复位、计数、转移功能即可,具体参见PC_8bit.v文件。 4.编译仿真类似上述步骤2。 实验小结: 1.这是计算机组成原理的第一个实验,比较简单,按照实验要求即可完成实验。通果这次实验,我对Max+Plus软件的使用方法和V erilog HDL语言编程复习了一遍,为后面的实验打好基础。 实验二CPU运行时序逻辑的设计 实验要求: 1.用V erilog HDL 语言设计三周期时序逻辑电路,要求带复位功能,t[2:0]在非法错误状态下能自动恢复。(比如说110恢复到001)。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用V erilog HDL 语言设计实现带复位和纠错功能的三周期时序逻辑电路。输入clk外部时钟信号和reset复位信号(低位有效),输出ck内部时钟信号和三周期信号t[2:0]。利用两级3位移位式分频逻辑实现,具体参见cycle_3.v文件。 2.编译通过,建立波形仿真文件,设置clk外部时钟信号和reset复位信号,Simulate 即可输出实验要求中显示的波形。 实验小结: 1.刚做这个实验的时候不知道CPU运行时序逻辑设计的真实用途,在进一步学习了计算机组成原理的理论知识,做cpu4实验后才知道是用来由外部时钟信号clk产生内部时钟信号ck以及三周期信号t[2:0]的。刚完成本次实验的时候未添加三周期信号t[2:0]的自动功能,后来完成cpu4后补上了。 实验三静态存储器的设计与读写验证 实验要求: 1.设计一个SRAM存储器,地址和数据都是8位,存储容量是256个字节。 2.采用异步的时序逻辑设计方式,数据是双向的,输入输出不寄存,存储器的地址也不寄存。 3.具体要求参见1_部件实验内容.doc说明文件。 实验实现:

选择题及答案-计算机组成原理

****************** 1 **************** 0、冯.诺依曼机工作方式的基本特点是: B A. 多指令流单数据流; B.按地址访问并顺序执行指令; C.堆栈操作; D.存储器按内容选择地址. 1. 计算机语言有许多种,其中与硬件直接相关的是___A____。 A. 机器语言 B. 高级语言 C. 网络语言 D. 操作系统 2. 计算机语言有许多种,其中计算机能够直接识别的是___A____。 A. 机器语言 B. 高级语言 C. 网络语言 D.操作系统 ****************** 2 **************** 3. 已知X为机器数,且[X]补 = 11111011,则X的十进制数值是___D___。 A. +251 B. –101 C. –124 D. - 5 4.已知一个用补码表示的8位数: 1111 1111 那么这个数的真值为 C 。 A.225 B.–127 C.–1 D. 127 5、对于真值0表示形式唯一的机器数是__C___。 A、原码B、补码和移码C、补码D、反码 6. 某数在计算机中用8421BCD码表示为0011 1001 1000,其真值为__A____。 A. 398 B. 398H C. 1630Q D. 1110011000B 7、假定下列字符码中有奇偶校验位,但没有数据错,采用偶校验的字符码是 . A.11001111; B.11010110; C.11100011 D.11000001 8.若待编信息位为 1011011 X,校验位X补在最后,则该代码的奇校验码为 __B____。 A. 10110110 B. 10110111 C. 11011011 D. 01011010 9、一个以补码表示的数: 11111100 ,则这个数的真值为__B____。 A、-124 B、-3 C、254 D、+3 10. 汉字在计算机内部存储、传输、检索的代码称为___C___。 A .输入码 B .汉字字形码 C .汉字内码 D .汉字交换码 11..以下叙述正确的是___C___ A. 汉字机内码用一个字节表示一个汉字 B. 汉字的输入码用于计算机中存储、交换汉字信息

相关主题