搜档网
当前位置:搜档网 › 指令集结构及其设计

指令集结构及其设计

计算机体系结构试题库—简答题

计算机体系结构试题库 简答题(100题) 1.简述CISC结构计算机的缺点。 答: ●在CISC结构的指令系统中,各种指令的使用频率相差悬殊。据统计,有20%的指 令使用频率最大,占运行时间的80%。也就是说,有80%的指令在20%的运行时 间内才会用到。 ●CISC结构指令系统的复杂性带来了计算机体系结构的复杂性,这不仅增加了研制 时间和成本,而且还容易造成设计错误。 ●CISC结构指令系统的复杂性给VLSI设计增加了很大负担,不利于单片集成。 ●CISC结构的指令系统中,许多复杂指令需要很复杂的操作,因而运行速度慢。 ●在CISC结构的指令系统中,由于各条指令的功能不均衡性,不利于采用先进的计 算机体系结构技术(如流水技术)来提高系统的性能。 2.RISC结构计算机的设计原则。 答: A.选取使用频率最高的指令,并补充一些最有用的指令; B.每条指令的功能应尽可能简单,并在一个机器周期内完成; C.所有指令长度均相同; D.只有load和store操作指令才访问存储器,其它指令操作均在寄存器之间进行; E.以简单有效的方式支持高级语言。 3.影响现代微处理器主频提升的主要原因由哪些? 答:线延迟、功耗。 4.指令集格式设计时,有哪三种设计方法? 答:固定长度编码、可变长编和混合编码)三种设计方法。

5.简述存储程序计算机(冯·诺依曼结构)的特点。 答: (1)机器以运算器为中心。 (2)采用存储程序原理。 (3)存储器是按地址访问的、线性编址的空间。 (4)控制流由指令流产生。 (5)指令由操作码和地址码组成。 (6)数据以二进制编码表示,采用二进制运算。 6.在进行计算机系统设计时,一个设计者应该考虑哪些因素对设计的影响? 答: 在进行计算机系统设计时,设计者应该考虑到如下三个方面因素的影响: ●技术的发展趋势; ●计算机使用的发展趋势; ●计算机价格的发展趋势。 7.简述程序翻译技术的特点。 答: 翻译技术是先把N+1级程序全部变换成N级程序后,再去执行新产生的N级程序,在执行过程中N+1级程序不再被访问。 8.简述程序解释技术的特点。 答: 解释技术是每当一条N+1级指令被译码后,就直接去执行一串等效的N级指令,然后再去取下一条N+1级的指令,依此重复进行。 9.经典体系结构的定义是什么? 计算机体系结构是机器级程序员所看到的计算机的属性,即概念性结构与功能特性。10.“线延迟墙”指的是什么?

吉林大学 计算机系统结构题库 第二章

第二章计算机指令集结构 知识点汇总: 指令集设计、堆栈型机器、累加器型机器、通用寄存器型机器、CISC、RISC、寻址方式、数据表示 简答题 1.增强CISC机器的指令功能主要从哪几方面着手?(CISC) (1) 面向目标程序增强指令功能。 (2) 面向高级语言和编译程序改进指令系统。 (3) 面向操作系统的优化实现改进指令系统。 2.简述CISC存在的主要问题。(知识点:CISC) 答:(1)CISC结构的指令系统中,各种指令的使用频率相差悬殊。 (2)CISC结构指令系统的复杂性带来了计算机系统结构的复杂性,这不仅增加了研制时间和成本,而且还容易造成设计错误。 (3)CISC结构指令系统的复杂性给VLSI设计增加了很大负担,不利于单片集成。 (4)CISC结构的指令系统中,许多复杂指令需要很复杂的操作,因而运行速度慢。 (5)在CISC结构的指令系统中,由于各条指令的功能不均衡性,不利于采用先进的计算机系统结构技术来提高系统的性能。 3.简述RISC的优缺点及设计RISC机器的一般原则。(知识点:RISC) 答:(1)选取使用频率最高的指令,并补充一些最有用的指令。 (2)每条指令的功能应尽可能简单,并在一个机器周期内完成。 (3)所有指令长度均相同。 (4)只有load和store操作指令才访问存储器,其它指令操作均在寄存器之间进行。 (5)以简单、有效的方式支持高级语言。 4.根据CPU内部存储单元类型,可将指令集结构分为哪几类?(知识点:堆栈型机器、累加器型机器、通用寄存器型机器) 答:堆栈型指令集结构、累加器型指令集结构、通用寄存器型指令集结构。 5.常见的三种通用寄存器型指令集结构是什么?(知识点:通用寄存器型机器) 答:(1)寄存器-寄存器型。 (2)寄存器-存储器型。 (3)存储器-存储器型。

计算机系统结构课后题.

[例题1.1] 假设将某一部件的处理速度加快到10倍,该部件的原处理时间仅为整个运行时间的40%,则采用加快措施后能使整个系统的性能提高多少? [解答] 由题意可知:Fe=0.4, Se=10,根据Amdahl 定律,加速比 为:Sn=1/(0.6+0.4/10=1.56 [例题1.2] 采用哪种实现技术来求浮点数平方根FPSQR 的操作对系统的性能影响较大。假设FPSQR 操作占整个测试程序执行时间的20%。一种实现方法是采用FPSQR 硬件,使FPSQR 操作的速度加快到10倍。另一种实现方法是使所有浮点数据指令的速度加快,使FP 指令的速度加快到2倍,还假设FP 指令占整个执行时间的50%。请比较这两种设计方案。 [解答] 分别计算出这两种设计方案所能得到的加速比: [例题1.2] [解答] =(4*25%+(1.33*75%=2.0 方案1:CPI 1=CPI 原-2%*(CPI 老1-CPI 新1=2.0-2%*(20-2=1.64 方案2:CPI 2=CPI 原-25%*(CPI 老2-CPI 新2=2.0-25%*(4-2=1.5 方案2的加速比=CPU 时间原/CPU 时间2=IC*时钟周期*CPI 原 / IC*时钟周期*CPI 2=2/1.5=1.33

[习题1.17] 假设高速缓存Cache 工作速度为主存的5倍,且Cache 被访问命中的概率为90%,则采用Cache 后,能使整个存储系统获得多高的加速比Sp ? [解答] 我们首先对新的存储系统的性能做以下的假设:在Cache 不命中的情况下,对Cache 的访问不会额外损失时间,即:首先,决定Cache 是否命中所用的时间可以忽略;其次,在从主存向Cache 传输的同时,数据也被传输给使用部件(不需要再从Cache 中读取。这样,新的存储系统中,平均存取时间分为两个部分: hit Cache miss main hit hit miss miss average new R T R T R T R T T ?+?=?+?=_ 其中,R 表示各种情况所占的比例。 根据加速比的计算公式, 57.39.051.011__=?+?=?+?== hit Cache miss main main average new average old p R T R T T T T S [习题1.19]

8086指令系统精析解析

8086指令系统精析 3.1基本数据类型 1.IA-32结构的基本数据类型是字节(8位)、字(16位)、双字(32位)、四字 (64位,486中引入的)和双四字(128位,Pentium3中引入的)。 2.低字节占用内存中的最低地址,该地址也是此操作数的地址。图:P44 图3-1 3.字、双字、四字的自然边界是偶数编号的地址,字的自然边界是偶数编号的 地址,双字和四字的自然边界地址要分别能被4和8除尽。 4.数据结构要尽可能在自然边界上对齐 5.对于不对齐的存储访问,处理器要求做两次存储访问操作;而对于对齐的访 问,只要进行一次存储访问操作。 6.数字数据类型(学生自学)PPT 3.28086的指令格式 一、指令格式 Label(标号):mnemonic(助记符)argument1(参数1),argument2(参数2),argument3(参数3)其中: 1.标号是一个标识符,后面跟有冒号 2.助记符是一类具有相同功能的指令操作码的保留名 3.操作数的三个参数是任选的,可以有零到三个操作数,操作数参数的数量取 决于操作码 4.操作数参数可能是文字或数据项的标识符,也可能是寄存器的保留名或在程 序的另一部分声明的赋予数据项的标识符。 5.在算术和逻辑指令中存在两个操作数时,右边的操作数是源,左边的操作数 是目的。例如:LOADREG: MOV AX, SUBTOTAL 功能是把由SUBTOTAL表示的源操作数传送至AX寄存器。 3.38086/8088指令的操作数寻址方式

寻找操作数,操作数能定位在指令中、寄存器中、存储单元中以及I/O端口中。 1.立即数 用包含在指令中的操作数作为源操作数,这些操作数即为立即操作数。 立即数可以是8位或16 例1 MOV AX , 2056H 结果( AH ) = 20H ( AL ) = 56H 例2 MOV AL , 78 H 结果( AL ) = 78H 2.寄存器操作数 操作数在寄存器中,指令中指定寄存器名 8 位操作数,用8 位寄存器: AH、AL、BH、BL、CH、CL、DH、DL 16 位操作数,用16 位寄存器: AX、BX、CX、DX、SP、BP、SI、DI CS、DS、SS、ES 例1 MOV AX , 2056H 执行后:(AX)=2056H 例2 MOV BL , AH 执行前:(BL) = 12H, (AH) = 78H 执行后:(BL) = 78H (AH) = 78H ▲立即数寻址、寄存器寻址的操作数,不用在取完指令后再到内存中取数。 ▲以下的 5 中寻址方式,操作数存放在内存中,取完指令后,还需到内存取数。指令中给出的是该操作数的地址,包括段地址和偏移地址。 3. 内存操作数 ▲指令MOV DS: [ DI ] , CL 完成将CL寄存器中的内容传送到以DS为段值,DI为偏移值的内存单元中。例编程将CL寄存器的内容传送到21000H单元中。PPT 地址21000H=2000:1000H ,编程时,DS 存放段地址2000H,DI 存放偏移地址1000H MOV AX, 2000H MOV DS, AX ; (DS) = 2000H

手机处理器和结构指令集

手机处理器/结构指令集目前,市场上有Xscale、arm、OMAP等手机微处理器,其中Xscale微处理器的系列有PXA210(代号Sabinal)/PXA25x(代号Cotulla), PXA26x 与PXA27x(代号Bulverde)等,arm的系列有ARM7、ARM9、ARM9E和ARM10等系列,OMAP有OMAP730、OMAP3630等。

OMAP系列 结构指令集: 1、定义: 指令集也称为复杂指令集,英文名是CISC,(Complex Instruction Set Computer的缩写)。在CISC微处理器中,程序的各条指令是按顺序串行执行的,每条指令中的各个操作也是按顺序串行执行的。顺序执行的优点是控制简单,但计算机各部分的利用率不高,执行速度慢。其实它是英特尔生产的x86系列(也就是IA-32架构)CPU及其兼容CPU,如AMD、VIA的。即使是现在新起的X86-64(也被成AMD64)都是属于CISC的范畴。 要知道什么是指令集还要从当今的X86架构的CPU说起。X86指令集是Intel 为其第一块16位CPU(i8086)专门开发的,IBM1981年推出的世界第一台PC 机中的CPU—i8088(i8086简化版)使用的也是X86指令,同时电脑中为提高浮点数据处理能力而增加了X87芯片,以后就将X86指令集和X87指令集统称为X86指令集。 虽然随着CPU技术的不断发展,Intel陆续研制出更新型的i80386、i80486直到过去的PII至强、PIII至强、Pentium 3,最后到今天的Pentium 4系列、至强(不包括至强Nocona),但为了保证电脑能继续运行以往开发的各类应用程序以保护和继承丰富的软件资源,所以Intel公司所生产的所有CPU仍然继续使用X86指令集,所以它的CPU仍属于X86系列。由于Intel X86系列及其兼容

-计算机系统结构(有详细答案)

(仅供参考,不作为考试标准), 选择题(30分,每题2分) 计算机系统结构设计者所关心的是________所看到的的计算机结构。 A)硬件设计人员B)逻辑设计人员 C)机器语言或汇编语言程序员D)高级语言程序员 在计算机系统设计时,为了提高系统性能,应当注意________。 A)加快经常性使用指令的执行速度 B)要特别精心设计少量功能强大的指令 C)要减少在数量上占很小比例的指令的条数 D)要加快少量指令的速度 重叠寄存器技术主要用于解决在RISC系统中因________而导致的问题。 A)JMP指令影响流水线 B)CALL指令的现场保护 C)只有LOAD和STORE指令带来的访问存储器不便 D)存储器访问速度 为使流水计算机运行效率高________ A)各过程段时间要不同B)连续处理的任务类型应该不同 C)连续处理的任务类型应该相同D)连续处理的任务数尽可能少不属于堆栈型替换算法的是________。 A)近期最少使用法B)近期最久未用法 C)先进先出法D)页面失效频率法 与全相联映象相比,组相联映象的优点是________。 A)目录表小B)块冲突概率低C)命中率高D)主存利用率高"一次重叠"中消除"指令相关"最好的方法是________。 A)不准修改指令B)设相关专用通路 C)推后分析下条指令D)推后执行下条指令 流水操作中,遇到数据相关时,采用的解决办法有________。 A)用优化编译器检测,通过指令重新排序的办法 B)数据重定向技术 C)延迟转移技术 D)加快和提前形成条件码 经多级网络串联来实现全排列网络,只能用________。 A)多级立方体网络B)多级PM2I网络 C)多级混洗交换网络D)上述任何网络 虫蚀寻径以流水方式在各寻径器是顺序传送的是________。 授课:XXX

计算机体系结构问答题第2章

第2章计算机指令集结构设计 1. 通常可按哪5个因素对计算机指令集结构进行分类? (1) 在CPU中操作数的存储方法。 (2) 指令中显式表示的操作数个数。 (3) 操作数的寻址方式。 (4) 指令集所提供的操作类型。 (5) 操作数的类型和大小。 2. 在对计算机指令集结构进行分类的5个因素中,哪一种是各种指令集结构之间最主要的区别? CPU中操作数的存储方法,即在CPU中用来存储操作数的存储单元的类型,是各种指令集结构之间最主要的区别。 3. 根据CPU内部存储单元类型,可将指令集结构分为哪几类? 堆栈型指令集结构、累加器型指令集结构、通用寄存器型指令集结构。 4. 堆栈型指令集结构、累加器型指令集结构和通用寄存器型指令集结构分别有什么 5. 现代大多数机器均采用通用寄存器型指令集结构,为什么? 主要有两个方面的原因,一是寄存器和CPU内部其他存储单元一样,要比存储器快;其次是对编译器而言,可以更加容易、有效地分配和使用寄存器。 6. 通用寄存器型指令集结构可细分为哪三类? 寄存器-寄存器型。 寄存器-存储器型。 存储器-存储器型。 7. 三种通用寄存器型指令集结构分别有什么优缺点?

8. 从当前的计算机技术观点来看,CISC结构有什么缺点? (1)CISC结构的指令系统中,各种指令的使用频率相差悬殊。 (2)CISC结构指令系统的复杂性带来了计算机系统结构的复杂性,这不仅增加了研制时间和成本,而且还容易造成设计错误。 (3)CISC结构指令系统的复杂性给VLSI设计增加了很大负担,不利于单片集成。 (4)CISC结构的指令系统中,许多复杂指令需要很复杂的操作,因而运行速度慢。 (5)在CISC结构的指令系统中,由于各条指令的功能不均衡性,不利于采用先进的计算机系统结构技术来提高系统的性能。 9. 增强CISC机器的指令功能主要从哪几方面着手? (1) 面向目标程序增强指令功能。 (2) 面向高级语言和编译程序改进指令系统。 (3) 面向操作系统的优化实现改进指令系统。 10. RISC的设计原则是什么? (1)选取使用频率最高的指令,并补充一些最有用的指令。 (2)每条指令的功能应尽可能简单,并在一个机器周期内完成。 (3)所有指令长度均相同。 (4)只有load和store操作指令才访问存储器,其它指令操作均在寄存器之间进行。 (5)以简单、有效的方式支持高级语言。 11. RISC和CISC处理机的指令系统结构在指令格式、寻址方式和每条指令的周期数(CPI)三方面有哪些区别? 12. 计算机指令集结构设计所涉及的内容有哪些? (1) 指令集功能设计:主要有RISC和CISC两种技术发展方向。 (2) 寻址方式的设计。 (3) 操作数表示和操作数类型。 (4) 寻址方式的表示:可以将寻址方式编码于操作码中,也可以将寻址方式作为一个单独的域来表示。 (5) 指令集格式的设计:有变长编码格式、固定长度编码格式和混合型编码格式三种。

8086指令总结

8086指令系统总结 学习微处理器及其程序设计,必须掌握微处理器的指令系统。本章以8086 微处理器为例介绍微型计算机的指令系统,包括指令格式、寻址方式和各类指令功能。要明确各种寻址方式的区别和特点,掌握有效地址和物理地址的计算方法,要正确使用指令,掌握各类指令的功能、对标志位的影响和使用上的一些特殊限制。能够编写小汇编程序,初步掌握汇编程序的编写和调试方法。 本章的重点难点内容是:8086 的指令格式及寻址方式,8086 的常用指令和8086 指令前缀的使用。 下面我们分别进行总结: 一.8086寻址方式 (1)操作数是数字,指令中立即写出数字------------立即数寻址 MOV AX,1234H 解释此句意义 (2)操作数是寄存器内容,指令中写出寄存器的符号---------寄存器寻址 MOV AX,BX (3)操作数是存储单元内容,用括号括出存储单元有效地址-----直接寻址 MOV AX,[1234H] MOV AX,ES:[1234H] (4)操作数是存储单元内容,用括号括出寄存器或其表达式,寄存器或其表达式的值为存储单元有效地址-------------间接寻址MOV AH,[BX] MOV AX,ES:[SI] MOV AL,[BX+SI+5]===5[BX+SI]===5[BX][SI] 二.8086指令系统 1.数据传送指令 (一)通用传送指令 (1)MOV指令 指令格式:MOV 目,源 功能:将源操作数传送给目标操作数。 (2)堆栈操作指令 进栈指令:PUSH 格式:PUSH 源 功能:将源操作数压入堆栈。 例:用堆栈指令完成上例的功能。 MOV AX,3000H MOV DS,AX ;段寄存器填充 MOV SI,0100H ;基本指令执 MOV DI,2000H ;行前的初值 MOV CX,50 NT: PUSH [SI] ;程序从这 POP [DI] ;开始设计 INC SI INC SI INC DI INC DI LOOP NT MOV AH,4CH INT 21H

8086 汇编指令集

8086 汇编指令集 一、数据传输指令 它们在存贮器和寄存器、寄存器和输入输出端口之间传送数据. 1. 通用数据传送指令 MOV 传送字或字节. 格式为: MOV DST,SRC 执行的操作:(DST)<-(SRC) MOVSX 先符号扩展,再传送. MOVZX 先零扩展,再传送. PUSH 把字压入堆栈. 格式为:PUSH SRC 执行的操作:(SP)<-(SP)-2 ((SP)+1,(SP))<-(SRC) POP 把字弹出堆栈. 格式为:POP DST 执行的操作:(DST)<-((SP+1),(SP)) (SP)<-(SP)+2 PUSHA 把AX,CX,DX,BX,SP,BP,SI,DI 依次压入堆栈. POPA 把DI,SI,BP,SP,BX,DX,CX,AX 依次弹出堆栈. PUSHAD 把EAX,ECX,EDX,EBX,ESP,EBP,ESI,EDI 依次压入堆栈. POPAD 把EDI,ESI,EBP,ESP,EBX,EDX,ECX,EAX 依次弹出堆栈. BSWAP 交换32 位寄存器里字节的顺序 XCHG 交换字或字节.( 至少有一个操作数为寄存器,段寄存器不可作为操作数) 格式为:XCHG OPR1,OPR2 执行的操作:(OPR1)<-->(OPR2) CMPXCHG 比较并交换操作数.( 第二个操作数必须为累加器AL/AX/EAX ) XADD 先交换再累加.( 结果在第一个操作数里) 2. 输入输出端口传送指令. IN I/O 端口输入. ( 语法: IN 累加器,{端口号│DX} ) 长格式为: IN AL,PORT(字节) IN AX,PORT(字) 执行的操作: (AL)<-(PORT)(字节) (AX)<-(PORT+1,PORT)(字) 短格式为: IN AL,DX(字节) IN AX,DX(字) 执行的操作: AL<-((DX))(字节) AX<-((DX)+1,DX)(字) OUT I/O 端口输出. ( 语法: OUT {端口号│DX},累加器),输入输出端口由立即方式指定时,其范围是0-255;由寄存器DX 指定时,其范围是0-65535. 长格式为: OUT PORT,AL(字节) OUT PORT,AX(字) 执行的操作: (PORT)<-(AL)(字节)

armv8架构与指令集.整理.初稿

目 录 第1章 ARMV8简介 (3) 1.1基础认识 (3) 1.2相关专业名词解释 (3) 第2章 EXECUTION STATE (4) 2.1提供两种E XECUTION S TATE (4) 2.2决定E XECUTION S TATE的条件 (4) 第3章 EXCEPTION LEVEL (5) 3.1E XCEPTION L EVEL 与S ECURITY (5) 3.1.1 EL3使用AArch64、AArch32的对比 (5) 3.2EL X 和E XECUTION S TATE 组合 (7) 3.3路由控制 (7) 3.3.1 路由规则 (7) 3.3.2 IRQ/FIQ/SError路由流程图 (9) 第4章 ARMV8寄存器 (10) 4.1AA RCH32重要寄存器 (10) 4.1.1 A32状态下寄存器组织 (11) 4.1.1 T32状态下寄存器组织 (11) 4.2AA RCH64重要寄存器 (11) 4.364、32位寄存器的映射关系 (12) 第5章 异常模型 (13) 5.1异常类型描述 (13) 5.1.1 AArch32异常类型 (13) 5.1.2 AArch64异常类型 (13) 5.2异常处理逻辑 (14) 5.2.1 寄存器操作 (14) 5.2.2 路由控制 (15) 5.3流程图对比 (15) 5.3.1 IRQ 流程图 (16) 5.3.2 Data Abort 流程图 (19) 5.4源代码异常入口 (21) 5.4.1 C函数入口 (21) 5.4.2 上报流程图 (21) 5.4.3 异常进入压栈准备 (22) 5.4.4 栈布局 (22) 第6章 ARMV8指令集 (23) 6.1概况 (23) 6.1.1 指令基本格式 (23) 6.1.2 指令分类 (23) 6.2指令详解 (23)

精简指令集计算机

CHAPTER 12 REUCED INSTRUCTION SET COMPUTERS (第12章精简指令集计算机) ●对设计RISC计算机,高级语言程序行为的研究成果是有指导意义。程序中赋值 语句占有最大份额,这暗示着简单的数据传送应当优化。程序中还有许多IF和LOOP语句,基础的顺序控制机制需要进行优化,以准许有效地使用流水技术。操作数引用样式的研究表明,在寄存器中保持适当数量的操作数会有助于性能的提高。 ●RISC机器的关键特征:①有限的指令集并具有固定格式,②大量的寄存器或利用编译器来优化寄存器的作用,③强调对指令流水线的优化(optimizing)。 ●RISC的简单指令集自身便利于有效的流水化;RISC指令集自身也有助于实施延迟转移技术(the delayed branch technique):将转移指令和其他指令重排能提高流水线效率。 下面给出了自计算机诞生以来某些最主要的进步。 ●Family Concept:以不同的价格/性能特征提供的一级计算机,性能和价格方面的差 异在于同样结构的不同实现。 ●Microprogrammed Control Unit:微编程使控制器的设计和实现变得更容易,并提供 了对系列概念的支持。 ●Cache Memory:极大地改善了系统性能。 ●Pipelining:将并行性引入机器指令程序顺序本性的一种方式。 ●Multiple Processors:包含几种不同的组织和目标 ●RISC:最重要的变革,在语言和行为方面对大多数计算机结构的学识是一个挑战 RISC系统的关键点是: ①有限的和简单的指令集并具有固定格式, ②大量的寄存器或利用编译器来优化寄存器的作用, ③强调指令流水线的优化(optimizing) CP332+EP458表12.1比较了几个RISC和非RISC系统 12.1INSTRUCTION EXECUTION CHARACTERISTICS 指令执行特征 计算机发展最易见的形式是编程语言;随着硬件成本的下降,软件成本相对上升;通用性的扩展和编程人员的长期缺乏驱使软件成本在绝对意义上上升;软件运行多年后虽经不断修正仍继续出现新的缺欠。因此,一个计算机系统存活期的主要成本是软件而不硬件。 研究人员和工业界对此的响应是,开发出了功能更强、更复杂的高级程序设计语言,高级语言(HLL:high-level language)允许编程人员能更简明地表示算法,更关注细节,并经常支持结构化程序设计。 由此而来的是语义间隙问题(Semantic Gap),即HLL中提供的操作与计算机结构提供的操作间的差异。这种间隙现象(Symptoms of the Semantic Gap)被认为是,包括执行的低效、过长的机器程序和编译器的复杂性。设计者试图以结构的改进来减小这个间隙。关键的做法包括大指令集、若干的寻址方式和硬件实现的各种HLL语句

计算机组成原理指令系统设计

课程设计说明书 题目: 指令系统设计 院系:计算机科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2013年 11 月 25 日

安徽理工大学课程设计(论文)任务书 2013年11月25日

安徽理工大学课程设计(论文)成绩评定表

摘要 在飞速发展的科技社会中,计算机被应用到各行各业,各个领域中。人们渐渐地步入自动化、智能化的生活阶段。本次计算机组成原理课程设计课题是基本模型机的设计与实现。利用CPU与简单模型机来实现计算机组成原理课程及实验中所学到的实验原理和编程思想,硬件设备自拟,编写指令的应用程序,用微程序控制器实现了一系列的指令功能,最终达到将理论与实践相联系。本次设计完成了各指令的格式以及编码的设计,实现了各机器指令微代码,设计基本模型机的指令系统(包括逻辑与,逻辑或,算术加,减运算,输入,输出,转移,传送指令),形成具有一定功能的完整的应用程序。 简言之,这次设计,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一条微程序,一条微程序又有若干微指令组成,一条微指令的功能由24位操作信号(即控制位)实现。 这一课题的实现不仅使我们对各种微指令有了熟练的掌握,更对有关知识的深入学习打下基础。关键词:指令系统,微指令,机器指令,异或

目录 1.系统分析 (1) 1.1 设计准备 (1) 1.2 设计目标 (3) 2.系统设计 (4) 2.1 指令、微指令系统设计 (4) 2.2 模型机的微指令设计 (5) 2.3 异或程序设计 (6) 3.系统实现 (7) 3.1 程序编写与分析 (7) 3.3 调试结果 (8) 4.总结 (10) 4.1 设计体会 (10) 4.2设计改进 (10) 参考文献 (11)

2018年芯片产业RISC-V指令集架构分析报告

2018年芯片产业RISC-V 指令集架构分析报告 2018年8月

目录 一、RISC-V概述 (5) 1、RISC-V发展背景 (5) (1)外部环境 (5) ①贸易战背景下,自主可控势在必行 (5) ②政策驱动,集成电路产业迎来发展机遇 (5) ③新一代信息技术加速渗透,新兴领域对芯片提出了更高的需求 (6) (2)内部因素 (6) 2、RISC-V内涵 (7) (1)驱动因素 (7) (2)目标 (7) 二、RISC-V技术特性 (9) 1、纵向比较(RISC-Vvs RISC) (9) 2、横向比较(RISC-Vvs X86) (11) 三、RISC-V产业生态逐步完善 (13) 1、国际产业发展迅速 (13) (1)多个RISC-V开源版本及商用IP 诞生 (13) (2)科技巨头和初创公司纷纷布局 (14) (3)行业应用纷纷落地 (16) 2、国内产业后来居上 (17) 四、RISC-V满足个性化、定制化芯片新需求 (18) 五、我国RISC-V产业发展建议 (21) 1、我国芯片产业发展薄弱 (21) 2、我国RISC-V产业发展建议 (21)

(1)协同构建RISC-V技术应用推广的产业发展环境 (21) (2)协同建立RISC-V测试评估体系。 (22) (3)建立健全各项保障体系,上升至国家战略高度 (22) 六、相关企业 (22) 1、中国长城:国内自主可控硬件龙头 (23) 2、中国软件:具备自主可控全产业链体系 (24) 3、太极股份:战略转型积极推进,打造自主可控IT产业生态体系 (24)

指令集架构发展背景。外部环境:(1)贸易战背景下,自主可控势在必行;(2)政策驱动,集成电路产业迎来发展机遇;(3)新一代信息技术加速渗透,新兴领域对芯片提出了更高的需求。内部因素:(4)从产业内部角度来看,主流指令集架构复杂,硬件实现难度大,授权费用高企,芯片企业成本居高不下。 RISC-V指令集架构技术特性。在于简洁、模块化、可扩展及开源,通过有限指令集的组合和扩展,构建适合任何领域的微处理器。 RISC-V产业生态逐步完善。国际产业——多个RISC-V开源版本及商用IP 诞生;科技巨头和初创公司纷纷布局;行业应用纷纷落地。国内产业——华为、中兴等大企业,及部分中小型企业(如C-SKY)与创客群体加入RISC-V基金会;国内首个开源的RISC-V处理器诞生——蜂鸟E200(面向极低功耗与极小面积的场景而设计)。 RISC-V满足个性化、定制化芯片新需求。基于RISC-V的极简、模块化和可扩展特性,使得低功耗、小面积,同时具有个性化和差异化的定制芯片成为可能,碎片化场景(IOT、AI)应用大有可为。 我国RISC-V产业发展建议:协同构建RISC-V技术应用推广的产业发展环境;协同建立RISC-V测试评估体系;建立健全各项保障体系,上升至国家战略高度。 贸易战背景下,自主可控成为必然趋势,发展我国自主知识产权的指令集架构标准,构建完善的芯片、软件生态势在必行。我们长期看好从指令集架构设计、IP核开发、SOC系统设计、芯片制造、晶圆代工到整体封装等芯片全产业链。我国作为芯片消费超级大国(90%

计算机体系结构习题与答案

一、复习题 1.简述冯?诺依曼原理,冯?诺依曼结构计算机包含哪几部分部件,其结构以何部件为中心? 答:冯?诺依曼理论的要点包括:指令像数据那样存放在存储器中,并可以像数据那样进行处理;指令格式使用二进制机器码表示;用程序存储控制方式工作。这3条合称冯?诺依曼原理 冯?诺依曼计算机由五大部分组成:运算器、控制器、存储器、输入设备、输出设备,整个结构一般以运算器为中心,也可以以控制器为中心。 (P51-P54) 2.简述计算机体系结构与组成、实现之间的关系。 答:计算机体系结构通常是指程序设计人员所见到的计算机系统的属性,是硬件子系统的结构概念及其功能特性。计算机组成(computer organization)是依据计算机体系结构确定并且分配了硬件系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,它们之间的连接关系,实现机器指令级的各种功能和特性。同时,为实现指令的控制功能,还需要设计相应的软件系统来构成一个完整的运算系统。计算机实现,是计算机组成的物理实现, 就是把完成逻辑设计的计算机组成方案转换为真实的计算机。计算机体系结构、计算机组成和计算机实现是三个不同的概念,各自有不同的含义,但是又有着密切的联系,而且随着时间和技术的进步,这些含意也会有所改变。在某些情况下,有时也无须特意地去区分计算机体系结构和计算机组成的不同含义。 (P47-P48) 3.根据指令系统结构划分,现代计算机包含哪两种主要的体系结构? 答:根据指令系统结构划分,现代计算机主要包含:CISC和RISC两种结构。 (P55) 4.简述RISC技术的特点? 答:从指令系统结构上看,RISC 体系结构一般具有如下特点: (1) 精简指令系统。可以通过对过去大量的机器语言程序进行指令使用频度的统计,来选取其中常用的基本指令,并根据对操作系统、高级语言和应用环境等的支持增设一些最常用的指令; (2) 减少指令系统可采用的寻址方式种类,一般限制在2或3种; (3) 在指令的功能、格式和编码设计上尽可能地简化和规整,让所有指令尽可能等长; (4) 单机器周期指令,即大多数的指令都可以在一个机器周期内完成,并且允许处理器在同一时间内执行一系列的指令。 (P57-58) 5.有人认为,RISC技术将全面替代CISC,这种观点是否正确,说明理由? 答:不正确。与CISC 架构相比较,RISC计算机具备结构简单、易于设计和程序执行效率高的特点,但并不能认为RISC 架构就可以取代CISC 架构。事实上,RISC 和CISC 各有优势,CISC计算机功能丰富,指令执行更加灵活,这些时RISC计算机无法比拟的,当今时代,两者正在逐步融合,成为CPU设计的新趋势。 (P55-59) 6.什么是流水线技术? 答:流水线技术,指的是允许一个机器周期内的计算机各处理步骤重叠进行。特别是,当执行一条指令时,可以读取下一条指令,也就意味着,在任何一个时刻可以有不止一条指令在“流水线”上,每条指令处在不同的执行阶段。这样,即便读取和执行每条指令的时间保持不变,而计算机的总的吞吐量提高了。 (P60-62) 7.多处理器结构包含哪几种主要的体系结构,分别有什么特点? 答:多处理器系统:主要通过资源共享,让共享输入/输出子系统、数据库资源及共享或不共享存储的一组处理机在统一的操作系统全盘控制下,实现软件和硬件各级上相互作用,达到时间和空间上的异步并行。 SIMD计算机有多个处理单元,由单一的指令部件控制,按照同一指令流的要求为他们分配各不相同的数据并进行处理。系统结构为由一个控制器、多个处理器、多个存贮模块和

8086指令集结构

8086指令集结构 一.8086 的寄存器结构 8086CPU中具有14个程序员可以访问的16位寄存器(如图1.1所示),按其功能可分为通用寄存器、段寄存器、指令指针寄存器及标志寄存器。 图1.1 8086寄存器结构框图 (1) 通用寄存器 8086CPU共有8个16位寄存器,它们可以作为一般的通用寄存器存放数据。按照相应的用途又可以分为两组:一组是数据寄存器(AX,BX,CX,DX),可以按照字(16位)形式访问,也可按照字节(8位)形式访问。主要用来暂时存放在计算过程中需要用到的操作数、操作运算结果。另一组是地址指针寄存器(SP,BP,SI,DI),只能按照字形式访问。主要存放访问主存时所需的偏移地址。 AX(Accumulator) 称作累加器,在乘、除法等指令运算中指定存放操作数及运算结果。 BX(Base) 称作基址寄存器,在计算主存地址时可以用作基址寄存器。 CX(Count) 称作计数寄存器,在循环指令及串处理等指令中作为隐含的计数器使用。 DX(Data) 称作数据寄存器,在字(16位)乘、除法等指令中用于存放双倍字长结果的高16位数据;在I/O指令中存放I/O的端口地址。 以上四个16位寄存器均可分为两个独立的8位寄存器使用。如AX分为AH 和AL SP(Stack Pointer) 称作堆栈指针寄存器,存放堆栈段首地址到栈顶单元的偏移量。 BP(Base Pointer) 称作基址指针寄存器,通常用于存放需要访问的、位于堆栈段数据的一个基地址或该段的某个字单元到堆栈段首地址的偏移量。 SI(Source Index) 称作源变址寄存器,主要用于存放需要访问的(源)操作数所在主存单元相对于该段首地址的偏移量。在串操作指令中,用作隐含的源变

8086指令大全

80X86 汇编指令符号大全 +、-、*、/∶算术运算符。 &∶宏处理操作符。宏扩展时不识别符号和字符串中的形式参数,如果在形式参数前面加上一个& 记号,宏汇编程序就能够用实在参数代替这个形式参数了。 $∶地址计数器的值——记录正在被汇编程序翻译的语句地址。每个段均分配一个计数器,段内定义的所有标号和变量的偏移地址就是当前汇编地址计数器的值。 ?∶操作数。在数据定义语句中,操作数用?,其作用是分配并保留存储空间,但不存入确定的数据。 =∶等号伪指令——符号定义。对符号进行定义和赋值,功能与 EQU相似,但允许(重复)再定义。 :∶修改属性运算符(操作符)——段操作符。用来临时给变量、标号或地址表达式指定一个段属性(不用缺省的段寄存器),自动生成一个“跨段前缀字节”。注意,段寄存器CS和ES不能被跨越,堆栈操作时也不能跨越SS。 ;∶注释符号。 %∶特殊宏操作符,用来将其后的表达式(通常是符号常数,不能是变量名和寄存器名)转换成它所代表的数值,并将此数值的ASCII码嵌入到宏扩展中。 ( )∶1.运算符——用来改变运算符的优先级别。2.教材符号,表示括号内存储单元(或寄存器)的内容。 < >∶宏调用时用来将带间隔符(如空格,逗号等)的字符串(作为实参)括起来。 [ ]∶1.运算符。方括号括起来的数是数组变量的下标或地址表达式。带方括号的地址表达式必须遵循下列原则,①只有BX、BP、SI、DI这四个寄存器可在方括号内出现;②BX或BP可单独出现在各方括号中,也可以与常数、SI或DI一起出现在方括号内,但不允许BX和BP出现在同一个方括号内;③SI和DI可以单独出现在各方括号内,也可以与常数、BP或BX一起出现在方括号内,但不允许SI和DI出现在同一个方括号内;④一个方括号内包含多个寄存器时,它们只能作加法运算;⑤若方括号内包含基址指针BP,则隐含使用堆栈段寄存器SS提供段基址,否则均隐含使用数据段寄存器DS提供段基址。2.教材符号,表示其中的内容可省略。 .LIST∶伪指令。用于打开列表文件输出。

计算机系统结构课后习题答案(老师划过重点得)

第1章计算机系统结构的基本概念 1.1 解释下列术语 层次结构:按照计算机语言从低级到高级的次序,把计算机系统按功能划分成多级层次结构,每一层以一种不同的语言为特征。这些层次依次为:微程序机器级,传统机器语言机器级,汇编语言机器级,高级语言机器级,应用语言机器级等。 虚拟机:用软件实现的机器。 翻译:先用转换程序把高一级机器上的程序转换为低一级机器上等效的程序,然后再在这低一级机器上运行,实现程序的功能。 解释:对于高一级机器上的程序中的每一条语句或指令,都是转去执行低一级机器上的一段等效程序。执行完后,再去高一级机器取下一条语句或指令,再进行解释执行,如此反复,直到解释执行完整个程序。 计算机系统结构:传统机器程序员所看到的计算机属性,即概念性结构与功能特性。 在计算机技术中,把这种本来存在的事物或属性,但从某种角度看又好像不存在的概念称为透明性。 计算机组成:计算机系统结构的逻辑实现,包含物理机器级中的数据流和控制流的组成以及逻辑设计等。 计算机实现:计算机组成的物理实现,包括处理机、主存等部件的物理结构,器件的集成度和速度,模块、插件、底板的划分与连接,信号传输,电源、冷却及整机装配技术等。 系统加速比:对系统中某部分进行改进时,改进后系统性能提高的倍数。 Amdahl定律:当对一个系统中的某个部件进行改进后,所能获得的整个系统性能的提高,受限于该部件的执行时间占总执行时间的百分比。 程序的局部性原理:程序执行时所访问的存储器地址不是随机分布的,而是相对地簇聚。包括时间局部性和空间局部性。 CPI:每条指令执行的平均时钟周期数。 测试程序套件:由各种不同的真实应用程序构成的一组测试程序,用来测试计算机在各个方面的处理性能。 存储程序计算机:冯·诺依曼结构计算机。其基本点是指令驱动。程序预先存放在计算机存储器中,机器一旦启动,就能按照程序指定的逻辑顺序执行这些程序,自动完成由程序所描述的处理工作。

计算机系统结构课后答案chap2-answer

第二章计算机指令集结构设计 2.1 名词解释 1.堆栈型机器——CPU中存储操作数的单元是堆栈的机器。 2.累加型机器——CPU中存储操作数的单元是累加器的机 器。 3.通用寄存器型机器——CPU中存储操作数的单元是通用 寄存器的机器。 4.CISC——复杂指令集计算机。 5.RISC——精简指令集计算机。 2.2堆栈型机器、累加器型机器和通用寄存器型机器各有什么 优缺点? 2.3常见的三种通用寄存器型机器的优缺点各有哪些?

2.4 指令集结构设计所涉及的内容有哪些? (1)指令集功能设计:主要有RISC和CISC两种技术发展 方向; (2)寻址方式的设计:设置寻址方式可以通过对基准程序 进行测试统计,察看各种寻址方式的使用频度,根据适用频度设置相应必要的寻址方式;

(3)操作数表示和操作数类型:主要的操作数类型和操作数 表示的选择有,浮点数据类型(可以采用IEEE 754标 准)、整型数据类型(8位、16位、32位的表示方法)、字符型(8位)、十进制数据类型(压缩十进制和非压缩 十进制数据表示)等等。 (4)寻址方式的表示:可以将寻址方式编码与操作码中,也 可将寻址方式作为一个单独的域来表示。 (5)指令集格式的设计:有固定长度编码方式、可变长编码 方式和混合编码方式三种选择。 2.5 简述CISC计算机结构指令集功能设计的主要目标。从当前的计算机技术观点来看,CISC 结构有什么缺点? CISC结构追求的目标是强化指令功能,减少程序的指令条数,以达到提高性能的目的。从目前的计算机技术观点来看,CISC结构存在以下几个缺点: (1)在CISC结构的指令系统中,各种指令的使用频率相 差悬殊。 (2)CISC结构的指令系统的复杂性带来了计算机体系结 构的复杂性,这不仅增加了研制时间和成本,而且还 容易造成设计错误。 (3)CISC结构的指令系统的复杂性给VLSI设计带来了 很大负担,不利于单片集成。 (4)CISC结构的指令系统中,许多复杂指令需要很复杂 的操作,因而运行速度慢。 (5)在结构的指令系统中,由于各条指令的功能不均衡

计算机系统结构复习(个人总结)

第一章: 计算机系统的层次结构:(按照计算机语言从低级到高级) 微程序机器,传统机器语言机器,操作系统机器,汇编语言机器,高级语言机器和应用语言机器。 计算机系统结构: 传统机器程序员所看到的计算机属性,即概念属性和功能特性。 计算机组成: 计算机系统结构的逻辑实现,包括物理机器级中的数据流和控制流的组成和逻辑设计等。计算机实现: 计算机组成的物理实现,包括处理机,主存等物理结构及整机装配技术。(器件技术和微组装技术) 透明性: 在计算机技术中,把这种本来存在的事物和属性,但从某种角度看又好像不存在的概念称为透明性。 Flynn分类法是依旧:指令流和数据流的多倍性进行分类的。 冯氏分类发:是按照计算机系统的最大并行度来分类的。 计算机系统设计的定量原则: 1,以经常性事件为重点:在计算机系统中对于经常发生的事件,赋予它优先的处理权和系统使用权。 2,Amdahl定律:加快某部件的执行速度所获得的系统性能的加速比。S n=1 (1?F e)+F e S e (注: Fe=可改进时间比例,Se=性能提高倍数) 3,CPU性能公式:执行一个程序所需要的CPU时间=IC*CPI*时钟周期时间(CPI指令平均时 钟周期=执行程序所需要的时钟周期数/所执行的指令数)CPI=∑(CPI i?IC i IC ) n i=1 4,程序的局部属性:程序执行时,所访问的存储器地址不是随机分布的,而是相对簇聚的。 包括时间局部性和空间局部性。 计算机系统设计者的主要任务: 1,确定用户对计算机系统的功能,价格和性能的要求。 2,软硬件功能的分配。 3,设计出生命周期长的系统结构。 软件兼容: 一台计算机上的程序不加修改或只需要少量的修改就可以由一台计算机一直到另一台计算机上运行,差别只是执行时间的不同 从中间开始设计:

相关主题