搜档网
当前位置:搜档网 › 电工学电子技术实验讲义

电工学电子技术实验讲义

电工学电子技术实验讲义
电工学电子技术实验讲义

电工与电子技术实验讲义

实验一 晶体管共射极单管放大电路

一、实验目的

(1)熟悉电子电路实验中常用的示波器、函数信号发生器的主要技术指标、性能及使用方法。 (2)掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。

(3)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 (4)掌握放大器电压放大倍数、输入电阻*

、输出电阻*

的测试方法。 二、实验原理

图2-1为电阻分压式工作点稳定的共射极单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R F 和R E ,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号i u 后,在放大器的输出端便可得到一个与i u 相位相反、幅值被放大了的输出信号0u ,从而实现了电压放大。

图2-1 共射极单管放大器实验电路

在图2-1电路中,当流过偏置电阻RB1和RB2的电流远大于晶体管V 的基极电流IB 时(一般5-10倍),

则其静态工作点可用下式估算

)(E F C C CC CE F

E BE

B E R R R I U U R R U U I ++-=+-=

电压放大倍数 //(1)C L

u be F

R R A r R β

β=-++

输入电阻 be B B i r R R R ////21= 输出电阻 C R R ≈0

由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。

在设计前应测量所用元器件的参数,为电路设计提供必要的依据;在完成设计和装配以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质的放大器,必定是理论设计与实验调整相结合的产物。

因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。

放大器的测量和调试一般包括放大器静态工作点的测量与调试,消除干扰与自激振荡及放大器各项动态参数的测量与调试等。

1.放大器静态工作点的测量与调试 (1)静态工作点的测量

测量放大器的静态工作点,应在输入信号i u =0的情况下进行,即将放大器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流C I 以及各电极对地的电位B U 、

C U 和E U 。一般实验中,为了避免断开集电极,所以采用测量电压E U 或C U ,然后算出C I 的方法。例如,

只要测出E U ,即可用E E E C R U I I /=≈算出C I ,也可根据C C CC C R U U I /)(-=,由C U 确定C I ,同时也能算出E B BE U U U -=,E C CE U U U -=。

为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 (2)静态工作点的调试

放大器静态工作点的调试是指对管子集电极电流Ic(或UcE)的调整与测试。

静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如静态工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时0u 的负半周将被削底,如图2-2(a)所示;如静态工作点偏低则易产生截止失真,即0u 的正半周被缩顶(一般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以,在选定工作点以后还必须进行动态调试,即在放大器的输入端加入一定的输入电压i u ,检查输出电压0u 的大小和波形是否满

足要求。如不满足,则应调节静态工作点的 (a)静态工作点偏高 (b)静态工作点偏低 位置。 图2—2 静态工作点对0u 波形失真的影响

改变电路参数Ucc 、Rc 和R B (R B1、R B2)都会

引起静态工作点的变化,如图2-3所示。但通常多采用调节偏置电阻R B1的方法来改变静态工作点,如减小R B1,则可使静态工作点提高等。 最后还要说明的是,上面所说的工作点“偏高”或“偏低”不是绝对的,应该是相对信号的幅度而言,如输入信号幅度很小,即使工作点较高或较低也不一定会出现失真。所以确切地说,产生波形失真是信号幅度与静态工作点设置配合不当所致。如需满足较大信号幅度的要求,静态工作点最好尽量靠近交流负载线的

中点。

2.放大器动态指标测试

放大器动态指标包括电压放大倍数、输入电阻、输出电阻、最大不失真输出电压(动态范围)和通频带等。

(1)电压放大倍数Av 的测量

调整放大器到合适的静态工作点,然后加入输入电压i u ,在输出电压0u 不失真的情况下,用交流毫伏表测出i u 和0u 的有效值i U 和0U ,则

(2)放大器输入电阻的测试*

所谓放大器输入电阻。就是指放大器输入端的等效电阻。

测试方法是用“串联电阻法”。如(图2-4)所示,在信号源与放大器之间串入一个电位器Rw 。测试方法如下:

先调Rw=0,调信号源的输出信号大小使放大器输出信号u o 不失真(频率应在放大器的通带范围内)。然后调节Rw ,使放大器输出减少到原来输出的 ,这时电位器的阻值即与放大器的输入电阻相等。

(3)放大器输出电阻的测试

所谓放大器的输出电阻,就是从放大器输出端看进去的等效电阻。

放大器输出端可以等效成一个理想电压源与输出电阻Ro 相串联,如(图2-5)所示。输出电阻R o 的大小反映了放大器带负载能力。可以通过测量放大器接入负载后的电压变化来求出。在放大器输入端加入一固定电压(此电压大小的选取,应使放大器在有或没有负载时,输出信号都不失真)先不接入负载电阻,测出放大器输出电压U o 。然后接入负载电位器,调节R L 的大小,使放大器输出电压为 (1/2)U o ,这时电位器的阻值大小即为放大器的输出电阻R o 。

(4)最大不失真输出峰—峰电压OPP U 的测量(最大动态范围)

如上所述,为了得到最大的动态范围,应将静态工作点调在交流负载线的中点。为此在放大器正常工作情况下,逐步增大输入信号的幅度,并同时调节Rp(改变静态工作点),用示波器观察0u 。当输出波形同时出现削底和缩顶现象(如图2-6)时,说明静态工作点已调在交流负载线的中点。然后反复调整输入信号,使波形输出幅度最大,且无明显失真时,用交流毫伏表测出0U (有效值),则动态范围等于022U 。,

或用示波器直接读出峰—峰值OPP U 。

图2-6 静态工作点正常,输入

信号太大引起的失真 三、实验设备与器件 (1)模拟电路实验箱; (2)函数信号发生器; (3)双踪示波器; (4)数字万用电表; (5)电阻器、电容器若干支。 四、实验内容

实验电路如图2-1所示。为防止干扰,各仪器的公共端必须连在一起,同时信号源、交流毫伏表和示波器的引线应采用专用电缆线或屏蔽线,如使用屏蔽线,则屏蔽线的外包金属网应接在公共接地端上。

1.调试静态工作点

接通直流电源前,先将Rp 调至最大,函数信号发生器输出旋钮旋至零。接通+12 V 电源,调节Rp ,使Ic =2.0 mA(即E U =2.2 V),用直流电压表测量B U 、E U 和C U ,用万用电表测量1B R 值,并记入表2-1中。

表2-1

测量值

计算值

B U /V

E U /V

C U /V

1B R /k

BE U /V

CE U /V

Ic/mA

2.测量电压放大倍数

在放大器输入端加入频率为1 kHz 的正弦信号S u ,调节函数信号发生器的输出旋钮使放大器输入电压U ipp =30mV (峰峰值),同时用示波器观察放大器输出电压0u 的波形,在波形不失真的条件下用示波器

图2-7 晶体三极管管脚排列

测量下述2种情况下的0u 值,并用双踪示波器观察0u 和i u 的相位关系,并记入表2-2中。 表2-2 Rc/k RL/k

ipp

u /V

0pp u /V

Av 观察记录一组0u 和i u 波形 2.4

2.4 2.4

3.观察静态工作点对输出波形失真的影响

置Rc =2.4k ,RL =2.4k ,i u =0V ,调节Rp ,使Ic =2.0 mA ,测出UcE 值;再逐步加大输入信号,使输出电压0u 足够大,但不失真(临界失真)。然后保持输入信号不变,分别增大(顺时针调)和减小(逆时针调)Rp ,使波形出现失真,绘出0u 的波形,并测出失真情况下的Ic 和UcE 值,并记入表2-4中。注意,在每次测Ic 和UcE 值时,都要将放大器的输入端短接。

表2-4

Ic/mA B U /V E U /V BE U /V

U CE /V

0u 波形

失真 情况

工作状态

2.0

4*.测量输入电阻和输出电阻

置Rc =2.4 k ,R L =2.4 k ,Ic =2.0 mA 。输入f =1 kHz 的正弦信号电压U ipp =30mV ,在输出电压0u 不失真的情况下,用交流毫伏表测出S U 、i U 和L U ,记入表2-6中。保持S u 不变,断开RL ,测量输出电压

u,记入表2-6中。

表2-6

五、实验总结

(1)列表整理测量结果,并把实测的静态工作点、电压放大倍数、输入电阻、输出电阻之值与理论计算值比较(取一组数据进行比较),分析产生误差原因。

R及静态工作点对放大器电压放大倍数的影响。

(2)分析

L

(3)讨论静态工作点变化对放大器输出波形的影响。

(4)分析并讨论在调试过程中出现的问题。

六、预习要求

(1)阅读教材中有关单管放大电路的内容并估算实验电路的性能指标。

假设:3DG6的β=100,RB2=20k,RB1=60k,Rc=2.4k,RL=2.4k。估算放大器的静态工作点、电压放大倍数Av、输入电阻Ri和输出电阻Ro。

(3)能否用直流电压表直接测量晶体管的UBE? 为什么实验中要采用测UB、UE,再间接算出U BE的方法?

(4)怎样测量RB1的阻值?

(5)当调节偏置电阻RB1,使放大器输出波形出现饱和或截止失真时,晶体管的管压降UcE怎样变化?

(6)改变静态工作点对放大器的输入电阻Ri有否影响?改变外接电阻RL对输出电阻Ro有否影响?

(7)在测试Av、Ri和Ro时,怎样选择输入信号的大小和频率?为什么信号频率一般选

1 kHz,而不选100 kHz或更高?

(8)测试中,如果将函数信号发生器、交流毫伏表及示波器中任一仪器的两个测试端子接线换位(即各仪器的接地端不再连在一起),将会出现什么问题?

实验二组合逻辑电路

一、实验目的

1.了解编码器、译码器、数据选择器等中规模数字集成电路(MSI)的性能及使用方法;

2.掌握74LS48BCD译码器和共阴极七段显示器的使用方法。

3.用集成译码器和数据选择器设计简单的逻辑函数产生器。

二、预习要求

I.复习74LS48、74LS151、74LS138的逻辑功能。

2.按实验内容2、3的要求,设计并画出逻辑电路图。

3.弄懂图5.16.4的工作原理。

三、实验原理

1.编码、译码、显示原理电路如图5.16.4所示。

该电路由8线—3线优先编码器74LSl48、4线—七段译码

器/驱动器74LS48、反相器74LS04和共阴极七段显示器

等组成。

74LS48具有以下特点:

(1)消隐(灭灯)输入BI低电平有效。当BI=0时,不论其余输入状态如何,所有输出为零,数码管七段全暗,无任何显示。译码时,BI=1。图5.16.4 编码、泽码、显示电路原理

(2)灯测试(试灯)输入LT低电平有效。当LT=0

(BI/RBO=1)时,无论其余输入为何状态,所有输出为l,数

码管七段全亮,显示数字8。可用来检查数码管、译码器有无故

障。译码时,LT=1。

(3)脉冲消隐(动态灭灯)输入RBI=1时,对译码无影响;

当BI=LT=1时,若RBI=0,输入数码是十进制零时,七段

全暗,不显示,输入数码不为零,则照常显示。在实际使用中

有些零是可以不显示的,如004.50中的百位的零可不显示;若

百位为零且不显示,则十位的零也可不显示;小数点后第二位

的零,不考虑有效位时也可不显示。这些可不显示的零称为冗余零。脉冲消隐输入RBI=0,可使冗余零消隐。

(4)脉冲消隐(动态灭灯)输出RBO与消隐输入BI共用一个管脚4,当它作输出端时。与RBI配合,共同使冗余零消隐。以3位十进制数为例。见图5.18.8。十位的零是否要显示,取决于百位是否为零,有否显示,这就要用RBO进行判断,在RBI和A3~A0全为零时,RBO=0,否则为1。百位为零,且RBI=0(百位被消隐),则百位RBO和十位的RBI=0,使十位的零消隐,其余数码照常显示。若百位不为零,或未使零消隐,则百位的RBO和十位的RBI全为1,使十位的零不具备消隐条件,而与其它数码一起照常显示。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电力电子技术A实验讲义

实验四三相半波可控整流电路的研究一.实验目的 了解三相半波可控整流电路的工作原理,研究可控整流电路在电阻负载和电阻—电感性负载时的工作情况。 二.实验线路与原理 三相半波可控整流电路用三只晶闸管,与单相电路比较,输出电压脉动小,输出功率大,三相负载平衡。不足之处是晶闸管电流即变压器的二次电流在一个周期内只有1/3时间有电流流过,变压器利用率低。 实验线路见图4-1。 1) 电源控制屏位于MEL-002T; 2) L平波电抗器位于NMCL-331挂件; 3) 可调电阻R位于NMEL-03/4挂件 4) G给定(Ug)位于NMCL-31调速系统控制单元中; 5) Uct位于NMCL-33F挂件; 6) 晶闸管位于NMCL-33F挂件。 图4-1 三.实验内容

1.研究三相半波可控整流电路供电给电阻性负载时的工作情况。 2.研究三相半波可控整流电路供电给电阻—电感性负载时的工作情况。 四.实验设备与仪表 1.教学实验台主控制屏 2.触发电路与晶闸主回路组件 3.电阻负载组件 4.示波器 五.注意事项 整流电路与三相电源连接时,一定要注意相序。 六.实验方法 1. 三相半波可控整流电路带电阻性负载。 合上主电源,接上电阻性负载R。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管A、K间端电压U VT=f(t)的波形。 2. 三相半波可控整流电路带电阻—电感性负载。 接入的电抗器L=700mH。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管的端电压U VT=f(t)(电阻性负载、电阻—电感性负载)、I d=f(t)(电阻—电感性负载)的波形。 实验方法的具体内容,可参照表4进行。 七. 实验报告

电工学与电子技术B复习题及参考答案_

一、 选择题 6、欲使放大器净输入信号削弱,应采取的反馈类型是(D ) A .串联反馈;B 并联反馈;C .正反馈;D.负反馈。 7、由一个三极管组成的基本门电路是(B ) A .与门;B .非门;C .或门;D.异或门。 8、在脉冲门电路中,应选择(B )的三极管。 A .放大能力强; B .开关速度快; C .价格便宜;D.集电极最大耗散功率高。 9、数字集成门电路中,目前生产最多且应用最普遍的门电路是( D ) A .与门;B .非门;C .或门;D.与非门。 24、对半导体而言,其正确的说法是(C ) A .P 型半导体多数载流子为空穴,所以它带正电; B .N 型半导体多数载流子为自由电子,所以它带负电; C .P 型半导体和N 型半导体本身都不带电; D .在N型半导体中,空穴是多子,自由电子是少子 25、在放大电路中,若测得某晶体管3个极的电位分别为9V 、4V 、3.7V ,则这3 个极分别为(A ) A . C 、B 、E ; B .C 、E 、B ; C .E 、C 、B 。 26、在放大电路中,若测得某晶体管3个极的电位分别为-6V 、-2.3V 、-2V ,则这-2.3 V 的那个极为(B ) A .集电极; B .基极; C .发射极。 27、在放大电路中,若测得某晶体管3个极的电位分别为6V 、1.2V 、1V ,则该管为(C ) A .NPN 型硅管;B . PNP 型锗管; C .NPN 型锗管;D . PNP 型硅管。 28、对某电路中的一个NPN 型硅管测试,测得U BE >0,U BC >0,U CE >0, 则此管工作在(B ) A .放大区;B .饱和区;C .截止区。 29、对某电路中的一个NPN 型硅管测试,测得U BE <0,U BC <0,U CE >0, 则此管工作在(C ) A .放大区;B .饱和区;C .截止区。 30、对某电路中的一个NPN 型硅管测试,测得U BE >0,U BC <0,U CE >0, 则此管工作在(A ) A .放大区;B .饱和区;C .截止区。 31、晶体三极管的控制方式为(B ) A .输入电流控制输出电压; B .输入电流控制输出电流; C .输入电压控制输出电压。 32、场效晶体管的控制方式为(C ) A .输入电流控制输出电压; B .输入电压控制输出电压; C .输入电压控制输出电流。 33、射极输出器(A ) A .有电流放大作用,没有电压放大作用; B .有电流放大作用,也有电压放大作用; C .没有电流放大作用,也没有电压放大作用。 34、某测量放大电路,要求输入电阻高,输出电流稳定,应引入(B ) A .并联电流负反馈; B .串联电流负反馈; C .串联电压负反馈; D .并联电压负反馈。 35、与D C B A ???相等的为(C ) A .D C B A ???;B .)()(D C B A +?+;C . D C B A +++。 36、与BC A A +相等的为(B ) A .A+B ;B .A+BC ;C .BC A +。 37、若1=+=AC B A Y ,则(C )

电工电子实验指导书

电工电子技术实验指导书 实验一日光灯电路及功率因数的改善 一、实验目的 1、验证交流电路的基尔霍夫定律。 ⒉了解日光灯电路的工作原理。 ⒊了解提高功率因数的意义和方法。 二、实验仪器及设备 ⒈数字万用表一块 ⒉交流电流表一块 ⒊ZH-12电学实验台 ⒋日光灯管、镇流器、电容器、起辉器各一个 三、实验原理 ⒈日光灯工作原理: 日光灯电路由灯管、启动器和镇流器组成,如图5-1所示。 ①日光灯:灯管是内壁涂有荧光物质的细长玻璃管,管的两端装有灯丝电极,灯丝上涂有受热后易发射电子的氧化物,管内充有稀薄的惰性气体和少量的水银蒸汽。它的起辉电压是400~500V,起辉后管压降只有80V左右。因此,日光灯不能直接接在220V电源上使用。 图5-1 日光灯的原理电路

②启辉器:相当于一个自动开关,是由一个充有氖气的辉光管和一个小容量的电容器组成。辉光管的两个金属电极离得相当近,当接通电源时,由于日光灯没有点亮,电源电压全部加在启动器辉光管的两个电极之间,使辉光管放电,放电产生的热量使到“U”形电极受热趋于伸直,两电极接触,这时日光灯的灯丝通过电极与镇流器及电源构成一个回路。灯丝因有电流通过而发热,从而使氧化物发射电子。同时,辉光管两个电极接通时,电极间的电压为零,辉光放电停止,倒“U”形双金属片因温度下降而复原,两电极分开,回路中的电流突然被切断,于是在镇流器两端产生一个瞬间高压。这个高感应电压连同电源电压一起加在灯管的两端,使热灯丝之间产生弧光放电并辐射出紫外线,管内壁的荧光粉因受紫外线激发而发出可见光。 小电容用来防止启燃过程中产生的杂散电波对附近无线电设备的干扰。 ③镇流器:它的作用一是在灯管起燃瞬间产生一高电压,帮助灯管起燃 ;二是在正常工作时,限制电路中的电流。 ⒉提高功率因数的意义和方法 在电力系统中,当负载的有功功率一定,电源电压一定时,功率因数越小,线路中的电流就越大,使线路压降、功率损耗增大,从而降低了电能传输效率,也使电源设备得不到充分利用。因此,提高功率因数具有重大的经济意义。 在用户中,一般感性负载很多。如电动机、变压器、电风扇、洗衣机等,都是感性负载其功率因数较低。提高功率因数的方法是在负载两端并联电容器。让电容器产生的无功功率来补偿感性负载消耗的无功功率以减少线路总的无功功率来达到提高功率因数的目的。四、实验内容及步骤 ⒈了解日光灯的各部件及其工作原理 ⒉按图5-2接好线路,电容器先不要接入电路。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电力电子技术实验指导书

实验一单结晶体管触发电路及示波器使用 班级学号姓名 同组人员 实验任务 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.详细学习万用表及示波器的使用方法。 二.实验设备及仪器 1.教学实验台主控制屏 2.NMCL—33组件 3.NMCL—05E组件 4.MEL—03A组件 5.双踪示波器(自备) 6.万用表(自备) 7. 电脑、投影仪 三.实验线路及原理 将NMCL—05E面板左上角的同步电压输入接SMCL-02的U、V输出端,触发电路选择单结晶体管触发电路,如图1所示。 图1单结晶体管触发电路图 四.注意事项 双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外

壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 五.实验内容 1.实验预习 (1)画出晶闸管的电气符号图并标明各个端子的名称。 (2)简述晶闸管导通的条件。 (3)示波器在使用两个探针进行测量时需要注意的问题。 2. 晶闸管特性测试 请用万用表测试晶闸管各管脚之间的阻值,填写至下表。 + A K G - A K G 3.单结晶体管触发电路调试及各点波形的观察 按照实验接线图正确接线,但由单结晶体管触发电路连至晶闸管VT1的脉冲U GK不接(将NMCL—05E面板中G、K接线端悬空),而将触发电路“2”端与脉冲输出“K”端相连,以便观察脉冲的移相范围。 合上主电源,即按下主控制屏绿色“闭合”开关按钮。这时候NMCL—05E内部的同步变压器原边接有220V,副边输出分别为60V(单结晶触发电路)、30V(正弦波触发电路)、7V(锯齿波触发电路),通过直键开关选择。 合上NMCL—05E面板的右下角船形开关,用示波器观察触发电路单相半波整流输出(“1”),梯形电压(“3”),梯形电压(“4”),电容充放电电压(“5”)及单结晶体管输出电压(“6”)和脉冲输出(“G”、“K”)等波形,并绘制在下图相应位置。

《电工学-电子技术-下册》期末试题汇总

2013年电工学下册复习试卷《内部资料》 第九章:半导体二极管和三极管、第十章:基本放大电路 一、单项选择题 1.若用万用表测二极管的正、反向电阻的方法来判断二极管的好坏,好的管子应为( C ) A 、正、反向电阻相等 B 、正向电阻大,反向电阻小 C 、反向电阻比正向电阻大很多倍 D 、正、反向电阻都等于无穷大 2.电路如题2图所示,设二极管为理想元件,其正向导通压降为0V ,当U i =3V 时,则U 0的值( D )。 A 、不能确定 B 、等于0 C 、等于5V D 、等于3V 3.半导体三极管是具有( B )PN 结的器件。 A 、1个 B 、2个 C 、3个 D 、4个 4.晶体管的主要特性是具有( D )。 A 、单向导电性 B 、滤波作用 C 、稳压作用 D 、电流放大作用 5.稳压管的稳压性能是利用PN 结的( D )。 A 、单向导电特性 B 、正向导电特性 C 、反向截止特性 D 、反向击穿特性 6.对放大电路进行动态分析的主要任务是( C ) A 、确定静态工作点Q B 、确定集电结和发射结的偏置电压 C 、确定电压放大倍数A u 和输入、输出电阻r i ,r 0 D 、确定静态工作点Q 、放大倍数A u 和输入、输出电阻r i ,r o 7.射极输出器电路如题7图所示,C 1、C 2足够大,对输入的交流信号u 可视作短路。则输出电压u 0与输入电压u i 之间的关系是( B )。 A 、两者反相,输出电压大于输入电压 B 、两者同相,输出电压小于且近似等于输入电压 C 、两者相位差90°,且大小相等 D 、两者同相,输出电压大于输入电压 8.在共射极放大电路中,当其他参数不变只有负载电阻R L 增大时,电压放大倍数将( B ) A 、减少 B 、增大 C 、保持不变 D 、大小不变,符号改变 9.在画放大电路的交流通路时常将耦合电容视作短路,直流电源也视为短路,这种处理方法是( A )。 A 、正确的 B 、不正确的 C 、耦合电容视为短路是正确的,直流电源视为短路则不正确。 D 、耦合电容视为短路是不正确的,直流电源视为短路则正确。 题2图 题7

电工实验讲义

电工学实验讲义 目录 实验一、验证基尔霍夫定律和叠加定理 (1) 实验二一阶动态电路研究 (4) 实验三交流电路参数的测量 (8) 实验四日光灯电路的连接及功率因数的提高 (11) 实验五三相电路的研究 (14) 实验六三相电路相序及功率的测量 (17)

实验一、验证基尔霍夫定律和叠加定理 一、实验目的 1、验证基尔霍夫电流、电压定律。加深对基尔霍夫定律的理解。 2、加深对电流、电压参考方向的理解。 3、验证叠加定理。 4、正确使用直流稳压电源盒万用表。 二、实验仪器 1、电路分析实验箱 2、直流毫安表 3、数字万用表 三、实验原理 1、基尔霍夫电流定律 (KCL): 在集总电路中 , 任何时刻 , 对任一节点 , 所有支路电流的代数和恒等于零。 2、基尔霍夫电压定律 (KVL): 在集总电路中 , 任何时刻 , 沿任一回路所有支路电压的代数和恒等零。 图1.1 基尔霍夫定律原理电路图 3、叠加原理 叠加原理不仅适用于线性直流电路,也适用于线性交流电路,为了测量方便,我们用直流电路来验证它。叠加定理可简述如下: 在线性电路中,任一支路中的电流(或电压)等于电路中各个独立源分别单独作用时在该支电路中产生的电流(或电压)的代数和,所谓一个电源单独作用是指除了该电源外其他所有电源的作用都去掉,即理想电压源所在处用短路代替,理想电流源所在处用开路代替,但保留它们的内阻,电路结构也不作改变。 由于功率是电压或电流的二次函数,因此叠加定理不能用来直接计算功 R 1 E 1 B I 3

率。其电路原理图及电流的参考方向如图1.2所示。 图1.2 叠加原理电路原理图 分别测量E 1、E 2共同作用下的电流I 1、I 2、I 3;E 1单独作用下的电流I 1'、I 2'、I 3′ 和E 2单独作用下的电流I 1''、I 2''、I 3''。 根据叠加原理应有: I 1=I 1'- I 1''; I 2= -I 2'+ I 2''; I 3=I 3′ + I 3'' 成立,将所测得的结果与理论值进行比较。 四、实验内容及步骤 (一)验证基尔霍夫定律 1、实验前先任意设定三条支路的电流参考方向 , 可采用如图1.1中 I 1 、 I 2、 I 3所示。 2、按图 1.1 所示接线。 3、按图 1.1.分别将 U S1、U S2 两路直流稳压电源接入电路 , 令 U S1=3V,U S2=6V, R 1= R 2= R 3=1K ?。 4、将直流毫安表串联在I 1 、I 2、I 3支路中 ( 注意 : 直流毫安表的 "+ 、 -" 极与电流的参考方向 ) 5、确认连线正确后 , 再通电 , 将直流毫安表的值记录在表1.1内。 6、用数字万用表分别测量两路电源及电阻元件上的电压值 , 记录在表1.1 内。 表1.1 测量数据记录表 实验电路图如图1.3所示 E B B B +

电力电子技术仿真实验指导书

《电力电子技术实验》指导书 合肥师范学院电子信息工程学院

实验一电力电子器件 仿真过程: 进入MATLAB环境,点击工具栏中的Simulink选项。进入所需的仿真环境,如图所示。点击File/New/Model新建一个仿真平台。点击左边的器件分类,找到Simulink和SimPowerSystems,分别在他们的下拉选项中找到所需的器件,用鼠标左键点击所需的元件不放,然后直接拉到Model平台中。 图 实验一的具体过程: 第一步:打开仿真环境新建一个仿真平台,根据表中的路径找到我们所需的器件跟连接器。

提取出来的器件模型如图所示: 图 第二步,元件的复制跟粘贴。有时候相同的模块在仿真中需要多次用到,这时按照常规的方法可以进行复制跟粘贴,可以用一个虚线框复制整个仿真模型。还有一个常用方便的方法是在选中模块的同时按下Ctrl键拖拉鼠标,选中的模块上会出现一个小“+”好,继续按住鼠标和Ctrl键不动,移动鼠标就可以将模块拖拉到模型的其他地方复制出一个相同的模块,同时该模块名后会自动加“1”,因为在同一仿真模型中,不允许出现两个名字相同的模块。 第三步,把元件的位置调整好,准备进行连接线,具体做法是移动鼠标到一个器件的连接点上,会出现一个“十字”形的光标,按住鼠标左键不放,一直到你所要连接另一个器件的连接点上,放开左键,这样线就连好了,如果想要连接分支线,可以要在需要分支的地方按住Ctrl键,然后按住鼠标左键就可以拉出一根分支线了。 在连接示波器时会发现示波器只有一个接线端子,这时可以参照下面示波器的参数调整的方法进行增加端子。在调整元件位置的时候,有时你会遇到有些元件需要改变方向才更方便于连接线,这时可以选中要改变方向的模块,使用Format菜单下的Flip block 和Rotate

电工学 期末复习天津理工大学

《电工与电子技术C 》直流电路部分补充题 一.单选题 1 图 示 电 路 中,理 想 电 压 源 发 出 的 功 率 P 为 ( )。 (a) 6 W (b) -6W (c) 18 W U I 6V 2S S 2 Ω 4 A 6 V . .+ 2 图 示 电 路 中,I S1 ,I S2 和 U S 均 为 正 值,且 I S2 >I S1 ,则 供 出 功 率 的 电 源 是( )。 (a) 电 压 源 U S (b) 电 流 源 I S2 (c) 电 流 源 I S2 和电压源 U S I I U S1S2S .. + 3. 在 图 示 电 路 中,已 知:当 -12 V 电 源 单 独 作 用 时,A 点 电 位 为 -6 V ,那 么 当 +12 V 电 源 单 独 作 用 时 ,A 点 电 位 V A 为 ( )。 (a) 9 V (b) 6 V (c) 3 V A 12V 1KΩ2KΩ- 12V u +12V R 2 k 1 k ..ΩΩ 4. 图 示 电 路 中,理 想 电 流 源 发 出 的 功 率 P 为 ( )。 (a) 6 W (b) -24 W (c) 24 W U I 6V 2S S 2 Ω 4 A 6 V . .+

5. 在 图 示 电 路 中,已 知 U S = 12 V , I S = 2 A 。B 、A 两 点 间 的 电 压 U BA 为( )。 (a) -18 V (b) 18 V (c) -6 V U I A B S S Ω 3+ 6. 图 2 是 图 1 的 等 效 电 压 源 电 路。已 知 图 2 中 R 0 的 值 是 5 Ω,那 么 图 1 中 R 的 值 应 是 ( )。 (a) 1 Ω (b) 3 Ω (c) 4.5 Ω A B 图 1图 29 Ω 2 Ω 2 ΩS 1U R R U 0S A B +-+- 7. 理 想 电 压 源 的 外 接 电 阻 越 大,则 流 过 理 想 电 压 源 的 电 流( )。 (a) 越 大 (b) 越 小 (c) 不 能 确 定 8. 理 想 电 流 源 的 外 接 电 阻 越 大,则 它 的 端 电 压 ( )。 (a) 越 高 (b) 越 低 (c) 不 能 确 定 二. 填空题 1、把 图 1 所 示 的 电 路 改 为 图 2 的 电 路,其 负 载 电 流 I 1 和 I 2 将 。 2A I I I I 1 2122V 1Ω1Ω1Ω1Ω 2V 2A 图 1 图 2+

实验讲义电工电子学(三)

实验一直流电路 一、实验目的 1.验证叠加原理和戴维南定理的内容,加深理解其内涵。 2.学习使用稳压电源。 3.掌握用数字万用表测量直流电量的方法。 二、相关知识 叠加原理是线性电路中的普遍性原理,它是指当有几个电源同时作用于线性电路时,电路中所产生的电压和电流等于这些电源分别单独作用时在该处所产生的电压和电流的代数和。在分析一个复杂的线性网络时,可以利用叠加原理分别考虑各个电源的影响,从而使问题简化,本实验通过测量各电源的作用来验证该原理。 戴维南定理是指在线性电路中,任何一个有源二端网络总可以看做一个等效电源,等效电源的电动势就等于该网络的开路电压U O,等效电源的内阻R O等于该网络中所有电源置零(电压源短路,电流源开路)后所得无源网络的等效电阻。如图1—1所示有源二端网络图(a)可以由图(b)等效代替。利用戴维南定理可以把复杂电路化简为简单电路,从而使计算简化。 (a)(b) 图1—1 有源二端网络及其等效电路 有源二端网络等效内阻R O的三种测量方法: 1.开路短路法。若图(a)的AB端允许短路,可以测量其短路电流I S,再测AB端的开路电压U O,则等效电阻R O=U O/I S。 2.外特性法。在AB之间接一负载电阻R L如图(a)所示,测绘有源二端网

络的外特性曲线U= f(I),该曲线与坐标轴的交点为U O和I S,则R O=U O/I S。 3.直接测量法。使有源二端网络中的电源置零(电压源短路,电流源开路),用万用表电阻挡直接测量AB端的阻值R O。 三、预习要求 1.复习教材中有关叠加定理和戴维南定理的内容,掌握其基本要点,注意其使用条件。 2.阅读实验指导中有关仪器的使用方法: 3.预习本次实验内容,作好准备工作。 (1)熟悉实验线路和实验步骤。 (2)对数据表格进行简单的计算。 (3)确定仪表量程。 四、实验线路原理图 图1—2 叠加定理实验线路图 图1—3 戴维南定理实验原理图图1—4 戴维南等效电路 五、实验设备

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

《电力电子技术》实验指导书

实验三单相半波可控整流电路实验 一、实验目的 (1)掌握单结晶体管触发电路的调试步骤和方法。 (2)掌握单相半波可控整流电路在电阻负载及电阻电感性负载时的工作。 (3)了解续流二极管的作用。 二、实验所需挂件及附件 三、实验线路及原理

单结晶体管触发电路的工作原理及线路图已在1-3节中作过介绍。将DJK03挂件上的单结晶体管触发电路的输出端“G”和“K”接到DJK02挂件面板上的反桥中的任意一个晶闸管的门极和阴极,并将相应的触发脉冲的钮子开关关闭(防止误触发),图中的R负载用DK04滑线变阻器接成并联形式。二极管VD1和开关S1均在DJK06挂件上,电感L d在DJK02面板上,有100mH、200mH、700mH三档可供选择,本实验中选用700mH。直流电压表及直流电流表从DJK02挂件上得到。 图3-3单相半波可控整流电路 四、实验容 (1)单结晶体管触发电路的调试。 (2)单结晶体管触发电路各点电压波形的观察并记录。 (3)单相半波整流电路带电阻性负载时U d/U2= f(α)特性的测定。 (4)单相半波整流电路带电阻电感性负载时续流二极管作用的观察。 五、预习要求 (1)阅读电力电子技术教材中有关单结晶体管的容,弄清单结晶体管触发电路的工作原理。

(2)复习单相半波可控整流电路的有关容,掌握单相半波可控整流电路接电阻性负载和电阻电感性负载时的工作波形。 (3)掌握单相半波可控整流电路接不同负载时U d、I d的计算方法。 六、思考题 (1)单结晶体管触发电路的振荡频率与电路中电容C1的数值有什么关系? (2)单相半波可控整流电路接电感性负载时会出现什么现象?如何解决? 七、实验方法 (1)单结晶体管触发电路的调试 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V,用两根导线将200V交流电压接到DJK03的“外接220V”端,按下“启动”按钮,打开DJK03电源开关,用双踪示波器观察单结晶体管触发电路中整流输出的梯形波电压、锯齿波电压及单结晶体管触发电路输出电压等波形。调节移相电位器RP1,观察锯齿波的周期变化及输出脉冲波形的移相围能否在30°~170°围移动? (2)单相半波可控整流电路接电阻性负载 触发电路调试正常后,按图3-3电路图接线。将滑线变阻器调在最大阻值位置,按下“启动”按钮,用示波器观察负载电压U d、晶闸管VT两端电压U VT的波形,调节电位器RP1,观察α=30°、60°、90°、120°、150°时U d、U VT的波形,并测量直流输出电压U和电源电压U2,记录于下表中。

电工学与电子技术B复习题及参考答案

电工学与电子技术B复习题答案 一、选择题 1、一含源二端网络,测得其开路电压为100V ,短路电流为10A,当外接10 Q负载电阻时,负载电流是(B) A. 10A; B.5A; C.15A; D.20A. 2、电动势为10V、内阻为2 Q的电压源变换成电流源时,电流源的电流和内阻是(C) A. 10A , 2 Q; B. 10A , 2 Q; C. 5A , 2Q; D. 2A , 5 Q. 3、正弦交流电压u=100sin(628t+60 o)V,它的频率为(A) A. 100Hz ; B. 50Hz ; C. 60Hz; D. 628Hz . 4、一阻值为3Q、感抗为4 Q的电感线圈接在交流电路中,其功率因数为(B) A. 0.3; B. 0.6; C. 0.5; D. 0.4 5、电力系统负载大部分是感性负载,要提高电力系统的功率因数常采用(B) A .串联电容补偿;B.并联电容补偿;C.串联电感补偿;D.并联电感补偿。 6、欲使放大器净输入信号削弱,应采取的反馈类型是(D) A .串联反馈;B并联反馈;C.正反馈;D.负反馈。 7、由一个三极管组成的基本门电路是(B) A .与门;B.非门;C.或门;D.异或门。 8、在脉冲门电路中,应选择(B)的三极管。 A .放大能力强;B.开关速度快;C.价格便宜;D.集电极最大耗散功率高。 9、数字集成门电路中,目前生产最多且应用最普遍的门电路是(D) A .与门;B.非门;C.或门;D.与非门。 10、在Y形联接的三相对称电路中,相电流与线电流的相位关系是(C) A. 相电流超前线电流30 o; B.线电流超前相电流30 o; C.相电流与线电流同相; D.相电流超前线电流 60 0。 11、在三相四线制中性点接地供电系统中,线电压指的(A)的电压。 A .相线之间;B.零线对地间;C.相线对零线间;D.相线对地间。 12、三相四线制供电的相电压为220V,则线电压为(D) A. 220V; B. 250V; C. 311V ; D. 380V. 13、纯电感电路中,(C) A.电流与电压同相位; B.电流与电压反相位; C.电压超前电流90 o;D .电压滞后电流90 0。 14、阻值为4 Q的电阻和容抗为3 Q的电容串联,总复数阻抗为(D)Q A. Z=3+j4 ; B. Z=3-j4 ; C. Z=4+j3 ; D. Z=4-j3。 15、额定电压都为220V的40W、60W和100W三只灯泡串联在220V的电源中,它们的发热量由大到小排列为(B) A. 100W、60W、40W ; B. 40W、60W、100W; C. 100W、40W、60W; D. 60W、100W、40W。 16、应用戴维南定理求含源二端网络的输入等效电阻的方法是将网络内的电动势(D) A .串联;B.并联;C.开路;D.短接。 17、用一只额定值为110V/100W 的白炽灯和一只额定值为110V/40W的白炽灯串联后接到220V的电源上,当开关闭合时,(C) A.能正常工作; B. 100W的灯丝烧毁; C. 40W的灯丝烧毁; D.两只灯丝全烧毁。 18、有一220V/1000W 的电炉,今欲接在380V的电源上使用,可串联的变阻器是(B)

电工电子技术实验指导书新100518

《电工电子》实验指导书 海南经贸职业技术学院 二○一○年三月十二日

实验一 万用表的使用 ——直流电压、直流电流和电阻的测量 一、实验目的 1.学会对万用表转换开关的使用和标度尺的读法,了解万用表的内部结构; 2.学会较熟练地使用万用表正确测量直流电和直流电流; 3.学会较熟练地使用万用表正确测量电阻。 二、实验器材 1.万用表 一块 2.面包板 一块 3.恒压电压源 一台 4.导线 若干根 5.电阻 若干只 三、实验内容及步骤 图1-1 1.电阻的测量 (1)未接成电路前分别测量图1-1电路的各个电阻的电阻值,将数据记录在表1;再按图1-1所示连成电路,并将图中各点间电阻的测量和计算数据记录在表2中,注意带上单位。 表1-1电阻测量 2.直流电流、电压的测量 开启实训台电源总开关,开启直流电源单元开关,调节电压旋钮,对取得的直流电源进行测量,测量后将数据填入表1-2中。 2 U S 2

万用表:主要用来测量交流直流电压、电流、直流电阻及晶体管电流放大位数等。现在常见的主要有数字式万用表和机械式万用表两种。 (1)数字式万用表 在万用表上会见到转换旋钮,旋钮所指的是次量的档位: V~:表示的是测交流电压的档位 V- :表示的是测直流电压档位 MA :表示的是测直流电压的档位 Ω(R):表示的是测量电阻的档位 HFE :表示的是测量晶体管电流放大位数 万用表的红笔表示接外电路正极,黑笔表示接外电路负极。优点:防磁、读数方便、准确(数字显示)。 (2)机械式万用表 机械式万用表的外观和数字表有一定的区别, 但它们俩的转挡旋钮是差不多的,档位也基本相同。在机械表上会见到有一个表盘,表盘上有八条刻度尺: 标有“Ω”标记的是测电阻时用的刻度尺 标有“~”标记的是测交直流电压.直流电流时用的度尺刻 标有“HFE”标记的是测三极管时用的刻度尺 标有“LI”标记的是测量负载的电流.电压的刻度尺 标有“DB”标记的是测量电平的刻度尺 (3)万用表的使用 数字式万用表:测量前先打到测量的档位,要注意的是档位上所标的是量程,即最大值; 机械式万用表:测量电流、电压的方法与数学式相同,但测电阻时,读数要乘以档位上的数值才是测量值。例如:现在打的档位是“×100”读数是200,测量传题是 200×100=20000Ω=20K,表盘上“Ω”尺是从左到右,从大到小,而其它的是从左到右,从小到大。 (4)注意事项 调“零点”(机械表才有),在使用表前,先要看指针是指在左端“零位”上,如果不是,则应小改锥慢慢旋表壳中央的“起点零位”校正螺丝,使指针指在零位上。 万用表使用时应水平放置(机械才有),测试前要确定测量内容,将量程转换旋钮旋到所示测量的相应档位上,以免烧毁表头,如果不知道被测物理量的大小,要先从大量程开始试测。表笔要正确的插在相应的插口中,测试过程中,不要任意旋转档位变换旋钮,使用完毕后,一定要将不用表档位变换旋钮调到交流电压的最大量程档位上。测直流电压电流时,要注意电压的正、负极、电流的流向,与表笔相接 (时)正确,千万不能用电流档测电压。在不明白的情况下测交流电压时,再好先是从大的挡位测起,以防万一。

电工学实验指导书汇总Word版

电工学实验指导书 武汉纺织大学 实验一直流电路实验 (1)

实验二正弦交流电路的串联谐振 (4) 实验三功率因数的提高 (6) 实验四三相电路实验 (9) 实验五微分积分电路实验 (12) 实验六三相异步电动机单向旋转控制 (14) 实验七三相异步电动机正、反转控制 (16) 实验八单相桥式整流和稳压电路 (18) 实验九单管交流放大电路 (19) 实验十一集成运算放大器的应用 (24) 实验十二组合逻辑电路 (26) 实验十三移位寄存器 (29) 实验十四十进制计数器 (33)

实验一直流电路实验 一、实验目的: 1.验证基尔霍夫定律 2.研究线性电路的叠加原理 3.等效电源参数的测定 二、实验原理: 1.基尔霍夫定律是电路理论中最重要的定律之一,它阐明了电路整体结构必须遵守的定律,基尔霍夫定律有两条即电流定律和电压定律。 电流定律:在任一时刻,流入电路中任一节点的电流之和等于流出该节点的电流之和,换句话来说就是在任一时刻,流入到电路中任一节点的电流的代数和为零,即∑I=0。 电压定律:在任一时刻,沿任一闭合回路的循行方向,回路中各段电压降的代数和等于零,即 ∑U=0。 2.叠加原理:n个电源在某线性电路共同作用时,它们在电路中任一支路中产生的电流或在任意两点间所产生的电压降等于这些电源单独作用时,在该部分所产生的电流或电压降的代数和。三、仪器设备及选用组件箱: 1.直流稳压电源 GDS----02 GDS----03 2.常规负载 GDS----06 3.直流电压表和直流电流表 GDS----10 四、实验步骤: 1.验证基尔霍夫定律 按图1—1接线,(U S1、U S2分别由GDS---02,GDS---03提供)调节U SI=3V,U S2=10V,然后分别用电流表测取表1—1中各待测参数,并填入表格中。 2.研究线性电路的叠加原理 ⑴将U S2从上述电路中退出,并用导线将c、d间短接,接入U S1,仍保持3V,测得各项电流,电压,把所测数据填入表1—2中;

电工学电子技术:下册》期末试题汇总

第九章:半导体二极管和三极管、第十章:基本放大电路 一、单项选择题 1.若用万用表测二极管的正、反向电阻的方法来判断二极管的好坏,好的管子应为( C ) A 、正、反向电阻相等 B 、正向电阻大,反向电阻小 C 、反向电阻比正向电阻大很多倍 D 、正、反向电阻都等于无穷大 2.电路如题2图所示,设二极管为理想元件,其正向导通压降为0V ,当U i =3V 时,则U 0的值( D )。 A 、不能确定 B 、等于0 C 、等于5V D 、等于3V 3.半导体三极管是具有( B )PN 结的器件。 A 、1个 B 、2个 C 、3个 D 、4个 4.晶体管的主要特性是具有( D )。 A 、单向导电性 B 、滤波作用 C 、稳压作用 D 、电流放大作用 5.稳压管的稳压性能是利用PN 结的( D )。 A 、单向导电特性 B 、正向导电特性 C 、反向截止特性 D 、反向击穿特性 6.对放大电路进行动态分析的主要任务是( C ) A 、确定静态工作点Q B 、确定集电结和发射结的偏置电压 C 、确定电压放大倍数A u 和输入、输出电阻r i ,r 0 D 、确定静态工作点Q 、放大倍数A u 和输入、输出电阻r i ,r o 7.射极输出器电路如题7图所示,C 1、C 2足够大,对输入的交流信号u 可视作短路。则输出电压u 0与输入电压u i 之间的关系是( B )。 A 、两者反相,输出电压大于输入电压 B 、两者同相,输出电压小于且近似等于输入电压 C 、两者相位差90°,且大小相等 D 、两者同相,输出电压大于输入电压 8.在共射极放大电路中,当其他参数不变只有负载电阻R L 增大时,电压放大倍数将( B ) A 、减少 B 、增大 C 、保持不变 D 、大小不变,符号改变 9.在画放大电路的交流通路时常将耦合电容视作短路,直流电源也视为短路,这种处理方法是( A )。 A 、正确的 B 、不正确的 C 、耦合电容视为短路是正确的,直流电源视为短路则不正确。 D 、耦合电容视为短路是不正确的,直流电源视为短路则正确。 10.P N 结加适量反向电压时,空间电荷区将( A )。 A 、变宽 B 、变窄 C 、不变 D 、消失 11.题11图示三极管的微变等效电路是( D ) 题2图 题7

电工学电子技术实验讲义

电工与电子技术实验讲义

实验一 晶体管共射极单管放大电路 一、实验目的 (1)熟悉电子电路实验中常用的示波器、函数信号发生器的主要技术指标、性能及使用方法。 (2)掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 (3)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 (4)掌握放大器电压放大倍数、输入电阻* 、输出电阻* 的测试方法。 二、实验原理 图2-1为电阻分压式工作点稳定的共射极单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R F 和R E ,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号i u 后,在放大器的输出端便可得到一个与i u 相位相反、幅值被放大了的输出信号0u ,从而实现了电压放大。 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻RB1和RB2的电流远大于晶体管V 的基极电流IB 时(一般5-10倍), 则其静态工作点可用下式估算 )(E F C C CC CE F E BE B E R R R I U U R R U U I ++-=+-= 电压放大倍数 //(1)C L u be F R R A r R β β=-++ 输入电阻 be B B i r R R R ////21= 输出电阻 C R R ≈0 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。 在设计前应测量所用元器件的参数,为电路设计提供必要的依据;在完成设计和装配以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质的放大器,必定是理论设计与实验调整相结合的产物。

相关主题