搜档网
当前位置:搜档网 › 红外遥控接收器的设计要点

红外遥控接收器的设计要点

红外遥控接收器的设计要点
红外遥控接收器的设计要点

目录

红外遥控接收器的设计 (1)

一、研究背景 (1)

二、设计目的 (1)

三、设计要求 (1)

3.1整个控制系统的设计要求 (1)

四、设计方案 (2)

五、硬件设计 (3)

5.1单片机及其硬件电路设计 (3)

5.1.1 单片机的介绍 (3)

5.1.2 时钟电路及RC复位电路 (4)

5.2红外发射电路 (5)

5.3 单片机红外接收器的电路设计 (6)

5.3.1 红外接收电路 (6)

5.3.2 电源电路的设计 (8)

5.3.3 八路LED开关电路 (8)

5.3.4电磁式继电器 (8)

5.3.5 LCD1602液晶显示电路 (9)

5.4小结 (10)

六、程序设计 (10)

6.1红外接收模块 (10)

6.1.1红外接收电路主程序流程图 (10)

6.1.2红外接收电路子程序流程图 (11)

6.2小结 (12)

七、系统测试 (12)

7.1系统功能测试 (12)

八、参考文献 (14)

九、致谢 (14)

附录1 (15)

附录2 (19)

红外遥控接收器的设计

一、研究背景

近年来随着计算机在社会领域的渗透,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。传统的遥控器大多数采用了无线电遥控技术,但是随着科技的进步,红外线遥控技术的成熟,红外也成为了一种被广泛应用的通信和遥控手段。继彩电、录像机之后,在录音机、音响设备、空凋机以及玩具等其它小型电器装置上也纷纷采用红外线遥控。工业设备中,在高压、辐射、有毒气体、粉尘等环境下,采用红外线遥控不仅完全可靠而且能有效地隔离电气干扰。由于红外线抗干扰能力强,且不会对周围的无线电设备产生干扰电波,同时红外发射接收范围窄,安全性较高。红外遥控虽然被广泛应用,但各产商的遥控器不能相互兼容。当今市场上的红外线遥控装置一般采用专用的遥控编码及解码集成电路,由于其灵活性较低,应用范围有限。所以采用单片机进行遥控系统的应用设计,遥控装置将同时具有编程灵活、控制范围广、体积小、功耗低、功能强、成本低、可靠性高等特点,因此采用单片机的红外遥控技术具有广阔的发展前景。

二、设计目的

本设计主要研究并设计一个基于单片机的红外接收系统,并实现对八路开关的控制。控制系统主要是由MCS-51和52系列单片机、电源电路、红外接收电路、LCD显示电路等部分组成,单片机编码发射遥控信号经红外接收处理传送给单片机,单片机根据不同的信息码控制八路LED发光二极管各个状态,并完成相应的状态指示。

三、设计要求

3.1整个控制系统的设计要求

1、被控设备的控制实时反映,从接收信号到信号处理及对设备控制反映时间应小于1s;

2、整个系统的抗干扰能力强,防止误动作,总体成本低。

3、直流控制交流,抗干扰能力强,反应迅速不产生误动作,能承受大电流冲击。

四、设计方案

(一)单片机控制器模块

方案一:采用目前比较通用的51系列单片机。此单片机的运算能力强,软件编程灵活,自由度大,市场上比较多见价格便宜且技术比较成熟容易实现。

方案二:采用凌阳16位单片机SPCE061A作为控制核心。与51单片机相比,SPCE061A 具有更加丰富的资源,有32个可编程的I/O口,14个中断源。但考虑到本设计没有用到如此多资源且价格贵,市场比较少见,技术不稳定。

综合分析考虑,选择方案一。

(二)驱动与开关

方案一:采用晶闸管直接驱动。其优点是体积小,电路简单,外围元件少。但控制电流小,大电流晶闸管成本高,并且隔离性能差。

方案二:采用三极管驱动继电器。其体积大,外围元件多。优点是控制电流大,隔离性能好。

根据实际情况,拟采用方案二。

整体设计思路为:红外数据接收则是采用LT0038一体化红外接收头,内部集成红外接收、数据采集、解码的功能,只要在接收端INT0检测头信号低电平的到来,就可完成对整个串行的信号进行分析得出当前控制指令的功能。然后根据所得的指令去操作相应的用电器件工作,如图4-1所示。

图4-1 电路设计整体框图

五、硬件设计

5.1单片机及其硬件电路设计

5.1.1 单片机的介绍

STC89C52RC系列单片机是有超强抗干扰、高速、低功耗的单片机,指令代码完全兼容传统8051单片机,12时钟机器周期和6时钟机器周期可任意选择,最新的D版本内部集成MAX810专用复位电路。

特点:

1、增强型6时钟机器周期,12时钟机器周期8051 CPU;

2、工作电压:5.5V-3.4V(5V单片机)/3.8V - 2.0V(3V单片机);

3、工作频率范围:0-40MHz,相当于普通8051的0~80MHz.实际工作频率可达

48MHz;

4、用户应用程序空间4K/8K/16K/20K/32K/64K字节;

5、片上集成1280字节/512字节 RAM;

6、通用I/O口(32个),复位后为:P1/P2/P3/P4是准双向口/弱上拉(普通

8051传统I/O口)P0口是开漏输出,作为总线扩展用时,不用加上拉电阻,作为I/O口用时,需加上拉电阻;

7、ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器、仿真器,可

通过串口(P3.0/P3.1)直接下载用户程序,8K程序3秒即可完成;

8、EEPROM 功能;

9、看门狗;

10、内部集成MAX810专用复位电路(D版本),外部晶体20M以下时,可省外

部复位电路。

11、共3个16位定时器/计数器,其中定时器0还可当成2个8位定时器使用;

12、外部中断4路,下降沿中断或低电平触发中断,Power Down模式可由外部

中断低电平触发中断方式唤醒;

13、通用异步串行口(UART),还可用定时器软件实现多个UART;

14、工作温度范围:0 - 75℃/-40 - +85℃;

15、封装: PDIP-40,PLCC-44,PQFP-44。

5.1.2 时钟电路及RC复位电路

STC89C52RC芯片内部有一高增益反相放大器,用于构成振荡器.反相放大器的输入端为XTAL1,输出端为XTAL2。在XTAL1、XTAL2(第19、18引脚)两端跨接一个石英晶体振荡器,和两个电容就构成了稳定自激谐振电路。晶振频率为11.0592MHz。C12,C13是两个瓷片电容,与晶振Y2构成了自激谐振电路。其电容的作用主要是对频率进行微调,一般取30-45PF左右。使用该电路可产生稳定的11.0592MHZ频率,受外界的环境的干扰影响非常小。其接法如图5-1所示:

图5-1 晶振电路

复位是单片机初始化操作,其主要功能是把PC初始化为0000H,使单片机从0000H 单元执行程序。除了进入系统的正常初始化之外,当程序运行出错或操作错误使系统处于死锁状态时,为摆脱困境,也需要按复位键重新启动。

复位操作有上电自动复位和按键手动复位两种方式。本设计采用了按键手动复位方式。该复位电路如图5-2所示。

复位电路采用了按键与上电复位。上电与按键均可以有效复位。上电瞬间RST引脚获得高电平,单片机复位电路随着电容的C11的充电,RST引脚的高电平逐渐下降。RST引脚的高电平只要能保持足够的时间(2个机器周期),单片机就可以进行复位操作。按键复位是直接将高电平通过电阻R11、R10分压到达RESET引脚,实现复位操作。

图5-2 复位电路

5.2红外发射电路

(1)红外线遥控制系统的原理框图:

将指令脉冲编码信号调制在载波振荡器产生的载波上(也称脉码调制),然后用这脉码调制信号去驱动红外发光二极管,以发出经过调制的红外光波,其红外遥控系统电路如图5-3所示。

图5-3 红外遥控系统电路图

5.3 单片机红外接收器的电路设计

硬件电路组成有: 红外接收电路、电源电路、用电器控制电路、八路开关电路。

5.3.1 红外接收电路

LT0038是用于红外遥控接收的小型一体化接收头,集成红外线的接收、放大、解调,不需要任何外接元件,就能完成从红外线接收到输出与TTL电平信号兼容的所有工作,而体积和普通的塑封三极管大小一样,它适合于各种红外线遥控和红外线数据传输,中心频率38.0kHz。接收器对外只有3个引脚:OUT、GND、VCC与单片机接口非常方便,如图5-4所示。

图5-4 LT0038外型图

1脚接电源(+VCC),2脚GND接系统的地线(0V),3脚脉冲信号输出,经非门U6缓冲与P24的判断信号进行逻辑与使得进入INT0的信号恰好是红外数据发射电路输出端P25的相反相信号,只要检测到INT0信号下降沿从而测出控制指令的功能。

LT0038内部结构框图:

图5-5 LT0038内部结构工作流程

LT0038接收原理:

红外线接收是把遥制发送的数据(已调信号)转换成一定格式的控制指令脉冲(调制信号、基带信号),是完成红外线的接收、放大、解调,还原成发射格式(高、低电位刚好相反)的脉冲信号。这些工作通常由一体化的接收头来完成,输出TTL兼容电平。最后通过解码把脉冲信号转换成数据,从而实现数据的传输。图 5-6是一个

红外线接收电路框图。

图5-6 红外接收及控制电路框图

本课题的核心部分在于红外发射、接收及八路开关功能演示。其中红线数据接收是对红外二进制脉冲的宽度进行测量,从而获得红外遥控的脉冲信息。怎样才能实时、准确地对红外二进制脉冲波形进行测量呢?

采用外部中断成为了理所当然的选择,外部中断只有低电平和下降沿触发两种方式,这就使得单片机只能一次性对脉冲的高电平或低电平进行测量,而一连串的脉冲是不可能分开多次测量的,因此,为了解决这一问题,本人将从接收头出来的红外二进制脉冲信号与标志位(P24)进行逻辑或非,然后再输入到INT0(P3.2)引脚,使得输入INT0的信号恰好是红外数据发射电路输出端P25的信号,只要检测到INT0信号下降沿到上升沿的这段时间。如果相邻的两个中断间隔的时间长度为1.125ms,说明接收到的是“0”;时间长度是2.25ms则为“1”。因此,脉冲电平的每一次跳变都会形成一次中断,在中断服务子程中即可实现一次性对一连串连续波形的测量,在测量后对0和1的个数据统计从而测出控制指令的功能。硬件或非门的反应速度是纳秒级的,满足实时要求。红外接收电路连接图如图5-7所示。

图5-7 红外接收电路图

5.3.2 电源电路的设计

由于本设计不需要高功率电源,所以采用三端稳压器足以满足要求,如图5-8所示

图5-8 电源电路

5.3.3 八路LED开关电路

八路开关电路的实现是本次设计的重点,通过八路开关的功能演示来体现本次多功能红外遥控器的设计思想,其电路图5-9所示。

图5-9 八路LED开关电路

K4是八路开关电路的总开关,只要接收到八路开关电路工作的红外控制信号,则K4就会闭合,LED灯才能进入演示功能。LED1亮表明该路电路接通,灭则表明电路电路断开,其他LED灯功能类同。

5.3.4电磁式继电器

电磁继电器是一种常见的以电控电的交流继电器,图5-10这种继电器的结构示意图。

图5-10 电磁式继电器

电磁继电器的工作原理是,当继电器线圈通电后,线圈中的铁芯产生强大的电磁力,吸动衔铁带动簧片,使触点1、2断开,1、3接通。当线圈断电后,弹簧使簧片复位,使触点1、2接通,1、3断开。我们只要把需要控制的电路接在触点1、2间(1、2称为常闭触点)或触点1、3间(称为常开触点),就可以利用继电器达到控制红外线辐射区域设备的目的。在设计本论文的电磁继电器次端的工额定工作要求大于250伏,触点间允许通过的电流大于30安培,继电器的原边控制电流为几十毫安培,电压为3-5V。

在本论文的设计中电磁式继电器的作用是在继电器原边用微(弱)电来控制次边强电,使得整个红外信号接收处理控制系统在侦察到红外信号后电磁继电器开关动作被控设备处于待机状态,其控制电路如下图5-11所示。

图5-11电磁式继电器

5.3.5 LCD1602液晶显示电路

LCD1602液晶显示器是一种低功耗,体积小,显示内容丰富、超薄轻巧、高可靠性的理想显示模块。它内置192 种字符(160个5×7点阵字符和32个5×10点阵字符)具有64个字节的自定义字符RAM,可自定义8个5×8点阵字符或四个5×11点

阵字符。利用单片机驱动LCD1602液晶显示器的电路如图5-12所示。

图5-12 LCD1602显示电路图

5.4小结

发射模块采用AT89C2051单片机作为控制核心,硬件电路组成: 4X4矩阵键盘电路、红外发射电路、红外接收电路、电源电路。遥控编码脉冲信号由引导码、系统码、系统反码、功能码、功能反码等信号组成。以PPM码(脉冲位置调制码)对红外数据调制在38KHz的载波上。

接收模块采用STC89S52单片机作为控制核心。硬件电路组成:采用LT0038小型一体化红外接收头、电源电路、八路LED开关电路、LCD1602显示电路。

六、程序设计

6.1红外接收模块

6.1.1红外接收电路主程序流程图

主程序是首先初始化红外接收端口,然后检测是否接收红外信号,如果接收到红外信号就调用接收子程序,然后就通过LCD1602显示当前LED灯熄灭的状态如此循环,

如图6-1所示。

图6-1 红灯接收主程序流程图

6.1.2红外接收电路子程序流程图

子程序是首先读取T0定时器的长度,如果是1.125ms就认为是“0”,将其存入缓冲区并且计数器加一,如果是2.25ms就认为是“1”,将其存入缓冲区并且计数器加一。如果计数器值为32时,就接收结束标志位并且将计数器清0,如果计数器值不为32时,就认为是接收误码,计数器也将清0,此时重新等待读取红外信号。如图6-2所示。

图6-6 红外接收程序流程图

6.2小结

单片机对红外遥控八路开关的控制括二个子系统分别是:红外遥控器指令发射、红外遥控指令接收。发射主程序设计是首先是初始化键盘和红外发射端口的参数值,然后让单片机扫描检测键盘,如果有按键按下就让其相应的按键编码通过红外发射管发射出去。接收主程序是首先初始化红外接收端口,然后检测是否接收红外信号,如果接收到红外信号就调用接收子程序,然后就通过LCD1602显示当前LED灯熄灭的状态如此循环。

七、系统测试

7.1系统功能测试

经过硬件和软件的设计后制作出了作品,并对作品进行了系统的测试,达到了设计要求。下面进行遥控的开关状态量进行测试,数据如表6-1,达到红外遥控功能。

通过示波器可以测到输出波形(Ch1)与接收波形(Ch2)的关系,如图6-7所示:

图6-7 发射与接收波形对应波形

八、参考文献

1、迟荣强:《单片机原理及接口技术》[M],高等教育出版社,第56页。

2、何立民:《单片机应用技术选编》[M],北京航空航天大学出版社,第79页。

3、张龙三:《C语言控制与应用》[M],清华大学出版社,第28页。

4、沙占友:《单片机外围电路设计》[M],电子工业出版社,第53页。

5、赵健:《实用声光及无线电遥控电路300例》,中国电力出版社出版,第21-52页。

6、黄继昌:《电子元器件应用手册》 [M],北京人民邮电出版社,第12-52页。

7、张伟、王力、赵晶:《电子设计与制版Protel DXP入门与提高》 [M],北京人民邮电出版社, 第41-52页。

九、致谢

本次设计综合我们所学到的专业知识,把理论联系到实际;为了提高自己的综合能力,从选题、收集资料、模块电路设计、绘制原理图、程序的编制到最后的资料整理都是自己亲自完成的。

在老师的指导和同学的帮助下,我克服了对复杂电路设计的畏惧情绪,顺利完成了本次设计任务。通过本次设计,我对电路设计以及软件控制等方面都有了更为深刻的认识,并在实际电路连接中,掌握了很多技能。我真诚的感谢老师,她是一位非常认真、严谨的老师,对电路设计、制作有着丰富经验,他对本人的设计思路及其最终实现都提出了非常宝贵的意见,并指出了设计思路中存在的不足,使得本次设计能够圆满成功。

同时我还要感谢我寝室的同学在学习、生活上对我的关心,也给了我莫大的支持。本次课题的设计是一次理论与实际相结合的考验,它使我更加真切的体会到,作为一名自动化专业的学生,光会纸上谈兵是远远不够的,实际动手能力和扎实的理论知识同等重要。本次设计对于我来说,既是一次对理论知识的巩固和提高,也是对实际动手操作能力的锻炼和加强。

这是本人完成系统的整体设计,难免会出现错误和不足之处,恳请批评指正!

附录1

void sendirdata()

{

uchar s=0,user=0,shuju=0,hc=0;

TR0=1;

/***发送引导码******************************************/ endcount=700; //发送9ms起始码的高电平

p3_7=1;

count=0;

do{}while(count

endcount=350; //发送4.5ms的结果码低电平

count=0;

p3_7=0;

do{}while(count

/***发送系统码*******************************************/ user=system;

for(s=0;s<=7;s++)

{

endcount=30;count=0; //发送公共的0.56ms高电平

p3_7=1;

do{}while(count

hc=user & wy[s]; //发送脉冲间距

if (hc==0){endcount=30;count=0;}

else{endcount=120;count=0;}

p3_7=0;

do{}while(count

}

/***发送系统反码******************************************/ user=system;

for(s=0;s<=7;s++)

{

endcount=30;count=0; //发送公共的0.56ms高电平

p3_7=1;

do{}while(count

hc=user & wy[s]; //发送脉冲间距

if (hc==0){endcount=120;count=0;}

else{endcount=30;count=0;}

p3_7=0;

do{}while(count

}

/***发送数据码********************************************/ shuju=keyvalue;

for(s=0;s<=7;s++)

{

endcount=30;count=0; //发送公共的0.56ms高电平

p3_7=1;

do{}while(count

hc=shuju & wy[s]; //发送脉冲间距

if (hc==0){endcount=30;count=0;}

else{endcount=120;count=0;}

p3_7=0;

do{}while(count

}

/***发送数据反码******************************************/ shuju=keyvalue;

for(s=0;s<=7;s++)

{

endcount=30;count=0; //发送公共的0.56ms高电平

p3_7=1;

do{}while(count

hc=shuju & wy[s]; //发送脉冲间距

if (hc==0){endcount=120;count=0;}

else{endcount=30;count=0;}

p3_7=0;

do{}while(count

}

红外编码子程序

//函数名称:void intr0_int()

//函数功能:外中断0中断服务函数

void intr0_int() interrupt 0 using 2 //外中断0用于判断脉冲个数{

if(!First_INT) //第一次外中断来时设置

{

time=0;

TR0=1;

First_INT=1;

}

else

{

if(time>330) //判断起始码,起始码来时设置&&time<700

{

Star_Flag=1;

CodeNum=0;

time=0;

}

else if(Star_Flag==0) //没有接收到起始码,放弃

{

First_INT=1;

time=0;

}

if(Star_Flag && time!=0) //开始接收

{

if((time>=30)&&(time<60)) Code[CodeNum]=0; //计数值设置

else if((time>=100)&&(time<150)) Code[CodeNum]=1; //计数值设置time=0; //计数值清零,以对下一个脉冲宽度进行计时

CodeNum++; //码字计数器加1

if(CodeNum>=33) //脉冲个数判断,共32个

{

TR0=0;

CodeNum=0;

Star_Flag=0;

First_INT=0;

flag=1;

}

}

}

}

LCD1602初始化程序

void lcd_init(void)

{LCD_DATA=0;

WriteCommandLcd(0x38); //工作方式初始化WriteCommandLcd(0x38); //显示模式设置WriteCommandLcd(0x08); //关闭显示WriteCommandLcd(0x01); //显示清屏WriteCommandLcd(0x06); //显示光标移动设置WriteCommandLcd(0x0c); //显示开及光标移动设置}

附录2

系统总电路原理图

基于单片机的红外遥控小车设计

单片机系统设计实例 红外遥控小车 专业:信息对抗技术 姓名:吴志飞 学号:1411050121 指导教师:张东阳

目录 1 绪论 (1) 2 系统分析 (2) 2.1系统框架 (2) 2.2电机驱动模块 (3) 2.3 LCD显示模块 (4) 3 系统硬件设计 (5) 3.1主控模块的电路设计 (6) 3.1.1AT89C51单片机的简介 (8) 3.1.2AT89C51管脚功能 (8) 3.2红外遥控模块的电路设计 (9) 3.2.1红外遥控的实现原理 (10) 3.2.2红外发射器 (11) 3.2.3红外接收器 (12) 3.3电机驱动模块的电路设计 (12) 3.4显示模块的电路设计 (13) 4 系统软件设计 (14) 4.1程序代码 (14) 4.2软件流程图 (17) 5 调试与仿真 (18) 5.1在keil中进行调试 (18) 5.2在Proteus中进行仿真 (19) 6 总结 (21) 参考文献 (22) I

沈阳理工大学课程设计说明书 1 绪论 随着计算机、微电子、信息技术的快速进步,智能化技术的开发速度越来越快,,智能化程度越来越高,应用范围也越来越广,包括海洋开发、宇宙探测、工农业生产、军事、社会服务、娱乐等各个领域。智能电动小车系统以迅猛发展的汽车电子为背景,涵盖了控制、模式识别、传感技术、电子、电气、计算机、机械等多个学科。主要由路径识别、角度控制及车速控制等功能模块组成。同时,当今机器人技术发展的如火如荼,其在国防等众多领域的应用广泛开展。神五、神六升天、无人飞船等等无不得益于机器人技术的迅速发展。一些发达国家已把机器人制作比赛作为创新教育的战略性手段,参加者多数为学生,目的在于通过大赛全面培养学生的动手能力、创造能力、合作能力和进取精神,同时也普及智能机器人的知识。从某种意义上来说,机器人技术反映了一个国家综合技术实力的高低,而智能电动小车是机器人的雏形,它的控制系统的研制将有助于推动智能机器人控制系统的发展,同时为智能机器人的研制提供更有利的手段。 本次课设设计的红外遥控智能小车可以分为四大组成部分:红外遥控部分、显示部分、执行部分、控制部分。智能小车可以实现按遥控指示前行,后退,左转和右转。该设计主要通过对系统硬件电路的设计,软件设计和程序的编写,然后通过后期软硬件调试达到设计初衷。 1

红外遥控器电路(接收电路)

电子技术基础课程设计任务书2014-2015学年第一学期第18周-19周

目录 1、总体方案的设计与选择........................... 错误!未定义书签。 1.1、选题及要求 (1) 1.2、原理与方案 (1) 1.2.1、红外线与红外接收二极管 (1) 1.2.2、红外接收电路 (1) 1.2.3、电源电路 (3) 1.2.4、红外接收总电路 (3) 1.2.5、元器件的选择 (4) 1.2.3方案确定 (4) 2、总电路图,印刷图及相关说明 (5) 2.1、原理图 (5) 2.2、清单图 (5) 2.3、PCB (6) 2.4、PCB三维图 (6) 2.5、PCB板3D显示图 (7) 3、计算机仿真及相关说明 (9) 3.1、仿真电路图 (9) 3.2、仿真过程 (9) 4、电路制作与调试 (11) 4.1、元件确定 (11) 4.2、元件检测 (11) 4.3、仪表仪器 (11) 4.4、电路板制作 (11) 4.5、电路板调试 (13) 4.6、调试常见故障与处理方法 (15) 5、心得体会 (16) 6、参考文献 (17)

引言 随着时代的发展,人民的生活水平不断提高,各种家用电器设备也随之进入千家万户,一些家用电器开关在使用的时候非常麻烦,为了方便大家使用,现在社会上也设计出了各种各样的控制开关,其中包括红外遥控开关,红外遥控是目前家用电器中用的较多的遥控方式。 红外遥控有以下特点: 1、抗干扰能力强。由于其无法穿透墙壁,故不同房间的家用电器可以使用通用的遥控器而不会产生相互的干扰; 2、电路调试简单,操作简单; 3、成本低,符合大众消费观念。 由于其抗干扰能力强,操作简单等诸多有点,红外遥控已经广泛应用于彩色电视机、DVD、空调、组合音响等各种家用电器上。 基于红外遥控发射与接收原理,我们小组设计了一款简易红外遥控电路,通过这个设计,不仅可以明白红外遥控的工作原理,还能在之后自己DIY红外遥控开关。相信通过这个设计也能让其他人对红外遥控开关的工作原理有进一步的了解。

单片机的红外遥控器解码设计

第1章红外解码系统分析 第1节设计要求 整个控制系统的设计要求:被控设备的控制实时反应,从接收信号到信号处理及对设备控制反映时间应小于1s;整个系统的抗干扰能力强,防止误动作;整个系统的安装、操作简单,维护方便;成本低。 红外载波、编码电路设计要求:单片机定时器精确产生38KHz红外载波;根据控制系统要求能对红外控制指令信号精确编码并迅速发送。 红外解码电路设计要求:精确接收红外信号,并对所接收信号进行解码、放大、整形、解调等处理,最后输出TTL电平信号;对非红外光及边缘红外光抗干扰能力强。 设备扩展模块设计要求:直流控制交流;抗干扰能力强;反应迅速不产生误动作;能承受大电流冲击。 第2节总体设计方案 2.1方案论证 驱动与开关 方案一:采用晶闸管直接驱动。 其优点是体积小,电路简单,外围元件少。但控制电流小,大电流晶闸管成本高,并且隔离性能差。 方案二:采用三极管驱动继电器。 其体积大,外围元件多。优点是控制电流大,隔离性能好。 根据实际情况,拟采用方案二。 2.2总体设计框图 经过上述方案的分析选择,得出系统硬件由以下几部分组成:电视红外遥控器,51单片机最小系统,接收放大于一体集成红外接收头,1602液晶显示驱动电路。 整体设计思路为:根据扫描到不同的按键值转至相对应的ROM表读取数据。确认设备及菜单选择键后AT89S2将从ROM读取出来的值,按照数据处理要求从P2.5输出控制脉冲与T0产生的38KHz的载波(周期是26.3μs)进行调制,经NPN三极管对信号放大驱动红外发光管将控制信号发送出去。红外数据接收则是采用HS0038一体化红外接收头,内部集成红外接收、数据采集、解码的功能,只要在接收端INT0检测头信号低电平的到来,就可完成对整个串行的信号进行分析得出当前控制指令的功能。然后根据所得的指令去操作相应的用电器件工作,如图1-1所示。

基于51单片机的红外遥控器设计

天津职业大学 二○一五~二○一六学年第1学期 电子信息工程学院 通信系统综合实训报告书 课程名称:通信系统综合实训 班级:通信技术(5)班 学号:1304045640 1304045641 1304045646姓名:韩美红季圆圆陈真真指导教师:崔雁松 2015年11月17日

一、任务要求 利用C51单片机设计开发一套红外线收发、显示系统。 具体要求: ●编写相关程序(汇编、C语言均可); ●用Proteus绘制电路图并仿真实现基本功能; ●制作出实物 二、需求分析(系统的应用场景、环境条件、参数等) 现在各种红外线技术已经源源不断进入我们的生活中,在很多场合发挥着作用。 机场、宾馆、商场等的自动门,会在人进出时自动地开启和关闭。原来,在自动门的一侧有一个红外线光源,发射的红外线照射到另一侧的光电管上,红外线是人体察觉不到的。当人走到大门口,身体挡住红外线,电管接收不到红外线了。根据设计好的指令,触发相应开关,就把门打开了。等人进去后,光电管又可以接到红外线,恢复原来的线路,门又会自动关闭。因此这种光电管被称为“电眼”,在许多自动控制设备中大显身手。 在家庭中,许多电子设备如彩色电视、空调、冰箱和音响等,都使用了各种“红外线遥控器”。利用它我们可以非常方便的转换电视频道或设定空调的温度档次。 三、概要设计(系统结构框图/系统工作说明流程图) 红外线收发、显示系统硬件由以下几部分组成:红外遥控器,51单片机最小系统,接收放大器一体集成红外接收头,LED灯显示电路。 红外线接收是把遥控器发送的数据(已调信号)转换成一定格式的控制指令脉冲(调制信号、基带信号),是完成红外线的接收、放大、解调,还原成发射格式(高、低电位刚好相反)的脉冲信号。这些工作通常由一体化的接收头来完成,输出TTL兼容电平。最后通过解码把脉冲信号转换成数据,从而实现数据的传输。 红外遥控系统电路框图

红外遥控发射和接收系统课程设计

红外遥控发射和接收系统设计 摘要 本设计是以红外技术为基础,可以实现无线遥控,摆脱了信息传递需要导线的限制,而且红外实现方式灵活,得到了广泛的应用。特别是随着芯片技术的发展,红外集成芯片价格的降低,更加扩展了红外的应用范围。现在在我们的日常生活中都能感受到红外的应用,以及它给我们带来的便利。本设计充分利用能够很容易买到的普通电视机遥控器,通过编码发射红外线,然后由通用红外接收芯片sw0038实现对红外的接收,但是因为考虑到题目的要求仅仅是实现对一个开关的简单开管控制,所以舍弃了依靠单片机来对遥控器发出的红外进行解码实现多种控制的方案。本方案简洁可行,充分利用现有的资源进行开发,取得比较好的效果,并且具有良好的移植性,可以通过简单的修改就应用到其他领域。 关键字:红外遥控红外解码双稳态 Abstract This design is take the infrared technology as a foundation, realizing the wireless remote control, getting rid of the the limit of wire information transmission. Beacause infrared technology is easy to be realized,it is widely used in many fields. Specially ,with the chip technology development, infrared integrated chip price reducing, even more expanded the infrared application scope . Now in our daily life ,we can feel the application of the infrared, and the convenience it has brought us.In this design,I take ordinary television remote control device to realize coding and Infrared Emission,then it is received by the general infrared receive chip sw0038 .what the topic requests is merely the realization of a simple switch control,so I give up the program on the MCU. The program is simple and feasible, making full use of the existing resources for development, and achieve fairly good results.It has a good portability,so only after a little change,it can be transplanted to other fields. Key word: infrared remote control infrared decode bistability

红外遥控开关课程设计报告

电子课程设计报告 题目:红外遥控开关的设计 专业班级:电气工程及其自动化 姓名 时间: 指导教师: 完成日期:2013年6月 5 日 红外遥控开关的设计任务书 1.设计目的与要求 设计一个红外遥控开关控制电路,要认真并准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)采用红外遥控发射和接收; (2)遥控距离要大于6米; (3)采用锁相环等实现红外遥控操作的加密; (4)输出负载可以为日光灯、白炽灯。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)电路仿真; (4)SCH文件生成与打印输出; (5)PCB文件生成与打印输出。 3.编写设计报告 写出设计的全过程,附上有关资料和电路图,有总结体会。 4.答辩 在规定时间内,完成叙述并回答问题。 目录 1引言 (3)

2总体设计案 (3) 2.1设计思路 (4) 2.2总体设计图 (4) 3设计原理析 (4) 3.1红外发射置 (4) 3.2红外接收装置 (5) 4总结与体会 (6) 5参考文献 (6) 附录1 (7) 附录2 (7) 红外遥控开关的设计 摘要: 单路红外遥控开关,它可以控制一路负载,可用于控制灯具,电风扇、排风扇等家用电器,设计介绍了可控制1KHZ 的红外遥控开关,除具有一般红外遥控的发射、接收及控制外,还特别设计了利用锁相环实现加密的功能。另外,用于使用的器件以及电路的性能都比较好,它的干扰性也是很好的,特别适用于对发射和接收要求高的场合。 关键词: 发射器;接收器;锁相环电路;红外遥控开关 1 引言 现在社会上已经设计出了各种各样的控制开关,其中包括红外遥控开关,红外遥控是目前家用电器中用的较多的遥控方式我们这个设计既具有红外遥控的一般通用特性,也设计了一种具有自己独特性能的部分,让人们更好的使用家用电器,以下介绍红外遥控的特点:它不影响周边环境的、不干扰其他电器设备。由于其无法穿透墙壁,故不同房间的家用电器可以使用通用的遥控器而不会产生相互的干扰;电路调试简单。由于其抗干扰能力强,操作简单等诸多有点,已经广泛应用于彩色电视机,VCD,DVD空调,组合音响等各种家用电器上,我们设计的这个红外遥控开关,不仅是要让人们明白红外遥控的工作原理,还要使他们能更深刻的把握电器性能好坏,相信通过这个设计大家也能对红外遥控开关的工作原理有进一步的了解。 2 总体设计方案 首先需要了解红外信号编码的特点,红外信号有几种不同的编码方式,例如可以使用不同的脉冲宽度代表0和1,也可以使用信号沿的变化代表0和1,但是红外遥控信号总是由一串脉冲所组成的。按下红外遥控器不同的按键之后发出的编码不同。如果将

基于单片机的红外遥控系统设计

课程设计 基于单片机的红外遥控系统设计 学院:计算机与通信工程学院 专业:通信工程 班级:通信11-3班 姓名: 学号:

天津理工大学 摘要 本设计采用51单片机作为遥控发射接收芯片,HS003B作为红外一体化接收发射管,在此基础上设计了一个简易的智能红外遥控系统。系统包括接收和发射两大部分,发射部分有16个按键,接收部分含有8盏彩色LED灯、一片二位数码管和蜂鸣器系统。发射部分通过键盘扫描判断哪个键被按下,经过单片机编码程序进行编码,控制红外发射电路发送信号。接收部分解码信号,实现相应的输出。本设计方案结合红外遥控设计简单、作方便、成本低廉等特点。 关键字:红外遥控信号调制编码解码

天津理工大学 目录 摘要................................................................................................................................................... I I 1.绪论 (1) 1.1课题目的和意义 (1) 1.2红外线简介 (1) 1.3红外遥控系统简介 (1) 2 课题方案和设计思路 (2) 2.1总体方案 (2) 2.2红外发射器设计 (3) 2.2.1红外发射器原理 (3) 2.2.2红外编码 (3) 2.3红外接收端设计 (4) 3硬件结构设计与介绍 (5) 3.1AT89C51系列单片机功能特点 (5) 3.1.1主要特性 (5) 3.1.2管脚说明 (5) 3.1.3基本电路 (7) 3.2红外发射电路 (8) 3.3红外接收电路设计 (9) 3.3.1红外接收模块 (9) 3.3.2数码管 (9) 3.3.3彩灯系统 (10) 3.3.4蜂鸣器系统 (11) 3.3.5红外接收端电路图 (12) 4 软件设计 (12) 4.1定时/计数器功能简介 (12) 4.2遥控码的发射 (13) 4.3红外接收 (14) 5.课程设计总结和心得 (15) 参考文献 (16) 附录 (17) 附录1P ROTEUS仿真图 (17) 附录2发射程序 (17) 附录3接收程序 (20)

红外遥控课程设计

单片机与接口技术课程设计 题目: 基于单片机红外线遥控控制 LED灯显示系统设计与制作班级:电子科学与技术1101 姓名:李婷 学号:110803025 2013年12月11日

目录 第一章设计要求 (3) 第二章硬件系统设计 (3) 2.1基于单片机红外线遥控控制LED灯显示系统框架图 (3) 2.2单片机控制系统及其基本电路 (4) 2. 2.1 单片机最小系统 (4) 2.2.2时钟电路 (5) 2.2.3复位电路 (5) 2.3基于单片机红外遥控控制LED系统的设计原理 (6) 2.3.1单片机红外遥控控制LED显示系统原理 (6) 2.3.2单片机红外遥控控制LED系统码分制原理 (7) 2.4红外遥控发射系统电路设计 (8) 2.4.1指令按键电路 (8) 2.4.2 发射电路 (9) 2.4.3 显示模块 (9) 2.5红外遥控接收系统电路设计 (11) 2.5.1接收电路 (11) 2.5.2 LED灯显示电路 (11) 2.6硬件原理图 (12) 第三章软件系统设计 (12) 3.1 红外线发射电路程序流程图设计 (13) 3.2 红外线接收电路程序流程图设计 (13) 第四章系统测试与分析 (14) 4.1 利用Proteus和keil进行仿真调试 (14) 4.2 仿真图 (16) 第五章总结 (18) 附录1 (18) 附录2 (22) 参考文献 (25)

赣南师范学院 2013 — 2014 学年第_1_学期课程论文行政班级:电子科学与技术1101 学号:110803025 姓名:李婷

图2-1 系统的设计总框图 2.2单片机控制系统及其基本电路 2.2.1单片机最小系统 单片机晶振电路:对于MSC-51一般的晶振频率可以在1.2MHz—12MHz 之间选择,这是电容C可以对应的选择10pF—30pF。当使用89C55时晶振频率可以提高到24MHZ。对于本设计的电容C用30pF,晶振选用11.0592MHz。晶振电路如下图3-1所示,一条引脚接在XTAL1,另一条接在XTAL2。单片机的复位电路:为了防止程序执行过程中失步或运行紊乱,此处采用了上电复位及手动复位电路,电路图如下图2-1所示: 图2-2-1 单片机最小系统图

红外线自动干手器课程设计

红外线自动干手器课程设计

综述 自动干手机具有风量大、噪音小、灵敏度高、使用方便、迅速和安生等的性能特点,在当今,它是一个先进和理想的卫生清洁器具和设备。广泛应用于宾馆酒店、机场车站、体育场馆等公共场所的洗手间[1]。当您洗手后,将双手伸在自动干手机的出风口下,自动干手机会自动送出舒适的暖风,迅速使您的双手去湿变干,而当您把手一离开自动干手机风口时它又自动停风关机,因而真正达到防止疾病交叉感染的要求。 其工作原理只是采用一种红外线控制的电子开关,当有人手伸过来时,红外线开关将电热吹风机自动打开,人离开时又自动将吹风机关闭。成品的自动干手器将红外线控制开关和电热吹风机制作为一体,根据这个基本原理,用一只普通的电热吹风机,加装一个红外控制开关,就可组成一个自动千手器,其效果与成品自动干手机是一样的。 在手置于干手器下部时,由于手对红外线的反射作用,接收电路中的光敏二极管将接收到的红外线变成电信号,经选频放大器,其输出信号经放大、整形、滤波变成直流信号进人比较器。比较器的门限电压设置较高,其目的是提高电路的抗干扰能力。当比较器的输人电平超过门限电压时,其输出变为低电平,触发555定时器开始定时,同时该定时器的3脚变为高电平,继电器触点吸合,接通电阻丝和风机。继电器进入暂稳态,当设定的延时时间结束后定时器的3脚变成低电平,继电器触电放开,切断电阻丝和风机,工作结束[2]。

1方案设计与分析 1.1方案设计一 本设计方案的红外线发射部分由光敏二极管,555定时器构成的多谐振荡器与红外线发射管组成,实现对由从多谐振荡器获得的电信号进行发射的功能;红外线的接收部分由集成运算放大电路和红外线接收管构成,实现对由光敏二极管发射的电信号进行接收,放大,选频的功能;由555定时器构成的单稳态电路和交流固态继电器作为该设计的延时电路,实现控制电吹风的工作与停止。 图1-1方案设计一框图 1.2方案设计二 采用BISS0001型红外传感信号专用处理集成电路与红外线热释传感器构成红外线触发电路,它可以接收到人身体发射出的红外线并通过专用的集成电路产生触发脉冲,用来触发555定时器构成的单稳态电路,单稳态电路可以提供延时功能,使干手器实现工作一定时间后自动停止的功能。SSR采用JCX-2F-DC5V型过零紧凑型固态继电器,它体积小巧,可以直接插焊在印制电路板上[3]。

万能学习型红外遥控器制作(毕业设计)

学号 密级 ××大学本科毕业论文 万能学习型红外遥控器设计 院(系)名称:×××× 专业名称:×××× 学生姓名:×××× 指导教师:×××× 二○○九年五月

BACHELOR'S DEGREE THESIS OF ×××× UNIVERSITY Design of Universal IR Learning Remote Controller College :×××× Subject :×××× Name :×××× Directed by :×××× May 2009

摘 要 随着家用电器种类的增加和无线遥控产品的普及,红外遥控器的使用频率越来越高,针对国内红外遥控学习技术成熟,但产品化程度低的特点,本文自主设计一种具有红外学习和触屏显示功能的红外遥控器,借此促进红外遥控学习技术在国内市场的产品化推广。 在红外解码方面,传统方法采用单片机中断或者查询方式采集红外信号,环境不理想情况下可能需要多次解码,本文借助电脑辅助记录全波形,通过相关软件优化波形,解码一次即可成功;在红外发射方面,本文通过实验发现红外发射距离受载波占空比和红外二极管贯通电流影响,通过调试将38KHz载波红外信号发射距离提高到10米;在红外接收方面,进行了红外干扰测试;在触屏校验方面,通过实验获取触屏数据,利用matlab参数估计lsqcurvefit函数求得校正参数,解决了触屏漂移问题;在彩屏显示方面,将遥控器所有按键简化为方向键和确认键,虚拟数码管显示按键位置,避免了单片机片上资源紧张的问题,此外,彩屏仅支持16位R5G6B5格式数据,一张176*220图片占用72. 6KB空间,造成极大浪费,本文借此讨论了适合本系统的图片压缩技术,给出了一种具体的图片压缩格式。 按照由简单到复杂的顺序,本文先后制作了遥控接收解码装置、遥控编码发射装置、万能学习型红外遥控器,以SAA3010遥控器作为典型代表(遵循飞利浦RC-5编码协议),成功的实现了红外编解码、发射接收、按键触屏双输入、彩屏显示等基本功能,最终制作的万能学习型遥控器在功能上可以完全代替SAA3010遥控器。 关键词:红外学习;红外解码;单片机控制;声卡采样;触屏校验

(完整版)红外遥控电路设计

引言 随着远程教育系统的不断发展和日趋完善,利用多媒体作为教学手段在各级各类学校都得到了广泛应用。近年来,在多媒体教学系统的使用、开发和研制中,经常遇到同时使用多种设备,如:数字投影机、DVD、VCD、录像机、电视机等,由于各种设备都自带遥控器,而且不同的设备所遵循的红外传输规约也不尽相同,操纵这些设备得使用多种遥控器,给使用者带来了诸多不便。本次毕业设计的主题就是红外遥控电路设计。红外遥控的特点是利用红外线进行点对点通信的技术,不影响周边环境,不干扰其他电器设备。室内近距离(小于10米),信号无干扰、传输准确度高、体积小、功率低的特点,遥控中得到了广泛的应用。通过基于单片机的控制指令来对多种设备进行远程控制,可以选择不同的按键来控制不同的设备。从而方便快捷的实现远程控制。 常用的红外遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一只特殊的发光二极管;由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的是红外线而不是可见光。红外发光二极管一般有黑色、深蓝、透明三种颜色。判断红外发光二极管好坏的办法与判断普通二极管一样;用万用表电阻挡量一下红外发光二极管的正、反向电阻即可。红外发光二极管的发光效率要用专门的仪器才能精确测定,而业余条件下只能用拉锯法来粗略判判定。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外接收二极管加反向偏压,它才能正常工作,亦即红外接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外发光二极管一般有圆形和方形两种。由于红外发光二极管的发射功率一般都较小,所以红外接收二极管接收到的信号比较微弱,因此就要增加高增益放大电路。最近几年不论是业余制作还是正式产品,大多都采用成品红外接收头。成品红外接收头的封装大致有两种:一种采用铁皮屏蔽;一种是塑料封装。均有三只引脚,即电源正(VDD)、电源负(GND)和数据输出(VO或OUT)。 红外接收头的引脚排列因型号不同而不尽相同,红外接收头的优点是不需要复杂的调试和外壳屏蔽,使用起来如同一只三极管,非常方便。

红外线防盗报警器课程设计报告

红外线防盗报警器课程设计报告 北华航天工业学院 课程设计报告(论文) 设计课题:红外线防盗报警器设计 专业班级: B10231 学生姓名: 指导教师: 设计时间: 2012年6月25日 北华航天工业学院电子工程系 红外线防盗报警器课程设计任务书姓名: 专业: 通信工程班级: B10231 指导教师: 职称: 课程设计题目: 红外线防盗报警器 已知技术参数和设计要求: , 该报警器能探测人体发出的红外线,当人进入报警器的监视区域内,即可发出报警声, 适用于家庭、办公室、仓库、实验室等比较重要场合防盗报警。 , 要求: , 1、灵敏、可靠、一经触发,即刻报警 , 2、对产品材料精益求精,延长使用寿命 , 3、根据实际应用环境,自己选择传感器,确定红外检测范围。所需仪器设备: 直流供电电源,信号发生器,双踪示波器,数字电压表,计算机等 成果验收形式: 面包板插接+实物演示+答辩

参考文献: 《电子技术基础模拟部分》(高教康华光) 《电子工艺与课程设计》(电子工业出版社毕亚军、崔瑞雪) 第17周: 周1---周2 :立题、论证方案设计~选择元器件安装调试 周4---周5 :插面包板调试电路时间 第18周: 安排 周1---周3 :焊接制成电路~完成设计 周4---周5 :验收答辩 指导教师: 张洁教研室主任: 崔瑞雪 2012年6 月 14 日 内容摘要 红外线防盗报警器目前市场上已有成型产品,且市场较为成熟。由于红外线是不可见光,因此用它进行红外探测监控,具有良好的隐蔽性,白天和黑夜均能使用,而且其抗干扰能力强。红外线传感器分主动式与被动式两种,主动式设计方案简单,但成本较高,从成本考虑,本课题通过介绍热释红外传感器RE200BP的工作原理,给出了一种被动型热释电红外报警器的结构原理及其应用电路。这种电路把红外线传感器应用于报警系统中,从而能够实现防盗报警能。 该报警器能探测人体发出的红外线,由红外线传感器、信号放大电路、电压比较器、和报警指示电路等组成。当人进入报警器的监视区域内,即可发出报警信号,适用于家庭、办公室、仓库、实验室等比较重要场合防盗报警。利用热释电红外传感器设计了一种被动式红外报警电路,分析了该电路的功能和工作原理。 关键词被动式红外报警器;热释电传感器;菲涅尔透镜;防盗报警器 目录

基于单片机的红外遥控系统设计

单片机红外遥控系统设计 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的遥控系统开始进入了人们的生活。传统的遥控器采用专用的遥控编码及解码集成电路,这种方法虽然制作简单、容易,但由于功能键数及功能受到特定的限制,只实用于某一专用电器产品的应用,应用范围受到限制。而采用单片机进行遥控系统的应用设计,具有编程灵活多样、操作码个数可随便设定等优点。 本设计主要应用了AT89C51单片机作为核心,综合应用了单片机中断系统、定时器、计数器等知识,应用红外光的优点,设计了一个红外线遥控系统。本系统包含发射和接收两大部分,利用编码/解码芯片来进行控制操作。发射部分包括键盘矩阵、编码调制、LED 红外线发射器;接收部分包括红外线接收芯片、光电转换器、调解电路。其优点硬件电路 简单,软件功能完善,性价比较高等特点,具有一定的使用和参考价值。 关键词:单片机AT89C51;LED红外线发射器

目录 目录 (2) 1 绪论 (2) 1.1研究背景 (2) 1.2国内外研究现状 (3) 1.3研究目的与意义 (3) 2系统方案设计论证 (5) 2.1单片机红外遥控发射器设计原理 (5) 2.2单片机红外遥控接收器设计原理 (5) 2.3方案选择和论证 (6) 3红外解码硬件电路设计 (8) 3.1红外解码系统设计 (8) 3.2单片机及其硬件电路设计 (8) 3.3红外发射电路设计 (10) 3.4红外接收电路设计 (11) 3.5本章小结 (13) 4红外解码程序设计 (14) 4.1红外接收电路主程序流程图 (14) 4.2红外接收电路子程序流程图 (14) 4.3本章小结 (15) 5 联机与调试 (16) 结论和展望 (23) 附录A:系统原理图 (24) 附录B:系统PCB图 (25) 附录C:系统仿真图 (26) 附录D:系统源程序 (27) 1 绪论 1.1研究背景 目前市场上采用的一般是遥控编码及解码集成的电路。此方案的特点是制作简单、容

基于某单片机地红外遥控设计与制作

基于单片机的红外遥控设计与制作 13工试2班舒佳章韬略 一、设计目的 对于本课题的研究,其理论中的价值是对红外线这种电磁波的特性进行更加深入的研究。同时在与单片机和电子电路的共同作用下,找到单片机及电子电路在实际运用中的更多功能,从而挖掘出红外线和硬件设备结合中的更多可能性。在现实意义中,对于红外线的使用,它不仅提高了单片机、硬件设备和硬件系统在智能遥控领域的广泛应用,而相对了在硬件设施上使用了红外线的遥控技术,也同时大大拓宽了硬件设施的应用围。在不久的将来,我相信,人们对于红外遥控控制的运用,会变得越来越广。 二、设计要求 基本功能要求: 1.以一个单片机作为控制遥控器,另一个单片机控制系统为被遥控对象; 2.用遥控器的10个遥控开关,控制遥控对象的10个电源开关通断; 3.能实现10个电源开关状态显示; 4.能实现定时开关某一个电源开关。 扩展功能: 1.能实现灯光亮度连续调节;

2.能根据不同电器实现不同时间通断控制; 3.其他扩展功能。 三、方案设计 3.1红外遥控发射电路的方案 采用指令键产生电路产生不同的控制指令,单片机进行状态的编码,直接由单片机的口输出方波信号控制红外发射管进行发射。红外发射管采用普通的红外发射二极管。 3.2红外遥控接收电路的方案 遥控系统采用红外线脉冲个数编码,直接利用单片机软件解码,实现功能的遥控。 3.3单片机的选择 本设计所编写的程序比较简单,功能也比较少,所用到的输入输出端口也不是很多,所以我们决定用STC89C52单片机来完成本设计,既方便也很实用。 3.4红外遥控系统电路的原理框图以及各部分作用

各部分作用: (1)行列式键盘 行列式键盘又称为矩阵式键盘,用I/O线组成行列结构,按键设置在行列的交点上,行列式分别连接到按键开关的两端。键盘中有无按键按下是由行线送入扫描字及列线读入列线状态字来判断的,有键按下时通过查键并执行键功能程序。 (2)红外线发射电路 遥控器信息码由单片机的定时器1中断产生40KHZ红外线方波信号。由P3.5口输出,经过三极管放大,由红外线发射管发送。 (3)单片机 单片机用于输出方波信号控制红外发射电路的工作。 3.5红外接收部分原理框图以及各部分作用 各部分作用: (1)+5V电源电路 给单片机最小系统、控制电路提供以及红外接收电路提供电压。

红外遥控器信号接收和显示的设计实现

电子电路综合设计实验报告 题目:红外遥控器信号接收和显示的设计实现(选题十四)班级:08-0441 姓名:简杰 学号:2008044127 日期:2011.4.6—2011.4.13 成绩:

摘要:随着电子技术的发展,红外遥控器越来越多的用到电器设备中,为电器用户提供了极大的方便。但是,对于电器生产厂家来说,各种型号的遥控器的大量使用带来的遥控器的大批量多品种的生产检测却是一个难题。目前市场上对遥控器的检测还是使用比较落后的手动方式逐一进行,使得一线的检测工人既费时费力而又效率低下;另外,在电器产品的调试过程中,当出现控制故障时,很难判断到底是遥控器的发射故障还是电器上的接收故障。因此,研制一种智能红外遥控器检测装置,以改变生产一线的这种状况成为一种迫切的需要。本实验中的红外遥控器信号的接收和显示电路以单片机和一体化红外接收器为核心技术,具体由单片机最小系统、单片机与PC机间的通信模块、红外接收模块、数码管显示模块和流水灯模块组成。在实验的设计中,采用HS0038塑封一体化红外线接收器,不需要任何外接元件,就能完成从红外线接收到输出与TTL电平信号兼容的所有工作,而且体积和普通的塑封三极管大小一样,它适合于各种红外线遥控和红外线数据传输。整个电路分为四个模块:单片机最小系统、通信模块、红外接收模块以及数码管显示模块。根据输入信号的不同,在数码管显示电路上显示相应的按键数字或音量调节表现出的流水灯功能,并通过串口调试助手,在遥控器有按键按下时,将其键值显示在PC机上。

一.设计任务与要求: 结合单片机最小电路和红外线接收接口电路共同设计一个基于单片机的红外遥控信号接收与转发系统,用普通电视机遥控器控制该系统,使用数码管显示信号的接收结果。 1.当遥控器重复按下某数字键时,数码管显示不变。 2.当遥控器按下某数字键时,在数码管上显示其键值。如按下数字键1,则在数码管上显示号码“01”。 3.当遥控器按下音量加减键时,用两位数码的周围段实现顺时针或者逆时针旋转的流水灯功能。 4.运用串口调试助手,当遥控器有按键按下时,将其键值显示在PC机上。 二.系统概述 1 设计方案 为了实现系统整体功能,红外解码部分是核心,红外解码指将遥控发射器所产生的红外遥控编码脉冲所对应的键值翻译出来的过程。下面将系统方案做一论证,通常有硬件解码和软件解码两种方案。 方案一: 硬件解码 此方案中,使用专用遥控器作为控制信号发出装置,当按下遥控器的按键后,一体化红外接收装置接收到遥控器发出的设置控制信号,然后将信号送到专用的解码芯片中进行解码,解码后将信号送到单片机,由单片机查表判断这个信号是按键数值信号或控制音量、频道等信号,当确认是何种信号后,启动子程序,然后进行查询。每次红外

STM32单片机对红外接收系统的设计

STM32单片机对红外接收系统的设计 4.23.1概述 人的眼睛能看到的可见光按波长从长到短排列,依次为红、橙、黄、绿、青、蓝、紫。其中红光的波长范围为0.62~0.76μm;紫光的波长范围为0.38~0.46μm。比紫光光波长更短的光叫紫外线,比红光波长更长的光叫红外线最广义地来说,传感器是一种能把物理量或化学量转变成便于利用的电信号的器件,红外传感器就是其中的一种。随着现代科学技术的发展,红外线传感器的应用已经非常广泛。 4.23.1.1红外接收头工作原理 红外接收头一般是接收、放大、解调一体头,一般红外信号经接收头解调后,数据“0”和“1”的区别通常体现在高低电平的时间长短或信号周期上,单片机解码时,通常将接收头输出脚连接到单片机的外部中断,结合定时器判断外部中断间隔的时间从而获取数据。重点是找到数据“0”与“1”间的波形差别。 3条腿的红外接收头一般是接收、放大、解调一体化,接收头输出的是解调后的数据信号,单片机里面需要相应的读取程序。具体详细的使用参数和时序请参考官方技术手册。 4.23.1.2在STM32实验系统中红外系统的组成 在我们是试验中使用的是红外线遥控器。因为红外线遥控器已经被广泛的使用在各类型的家电产品上,它的出现给使用电器提供了很多的便利。红外线系统一般由红外发射装置和红外接收设备两大部分组成。红外发射装置又可由键盘电路、红外编码芯片、电源和红外发射电路组成。红外接收设备可由红外接收电路、红外解码芯片、电源和应用电路组成。通常为了使信号更好的被发射端发送出去,经常会将二进制数据信号调制成脉冲信号,通过红外发射管发射。常用的有通过脉冲宽度来实现信号调制的脉宽调制(PWM)和通过脉冲串之间的时间间隔来实现信号调制(PPM)两种方法。 1、常用的红外发光二极管其外形和发光二极管LED相似,发出红外光。管压降约1.4v,工作电流一般小于20mA。为了适应不同的工作电压,回路中常常串有限流电阻。 一些彩电红外遥控器,其红外发光管的工作脉冲占空比约为1/3-1/4;一些电器产品红外遥

红外遥控控制系统设计

河南科技学院机电学院单片机课程设计报告 题目:红外遥控控制系统设计 专业班级:电气工程及其自动化103 姓名:张明军 时间:2012.12.15 ~2012.12.28 指导教师:田丰庆邵锋张素君完成日期:2012年12月28 日

红外遥控控制课程设计任务书 1.设计目的与要求 设计出一个用于红外遥控控制的控制器。准确地理解有关要求,独立完 成系统设计,要求所设计的电路具有以下功能: (1)有效遥控距离大于10米。 (2)遥控控制的路数在5路以上。 (3)采用数码管显示当前工作的控制电路。 (4)通过遥控器可以任意设置用户密码(1-16位长度),只有合法用户才能有修改电路控制的功能,同时系统掉电后能自动记忆和存储密码在系统中。 (5)密码的输入时间超过12秒或者连续3次输入失败,声音报警同时锁定系统,不让再次输入密码。此时只有使用管理员密码方能对系统解锁。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。 论文结构清晰,层次分明,理论严谨

目录 1引言 (1) 2总体设计方案 (2) 2.1 设计思路 (2) 2.2设计方框图 (3) 3设计原理分析 (4) 3.1发射电路设计 (4) 3.2接收电路设计 (7) 3.3 软件设计 (9) 4 结束语 (12) 参考文献 (13) 附录一 (14) 附录二 (15)

红外遥控控制系统 摘要:本设计由发射器和接收器两部分组成。指令键、指令信号产生电路、调制电路、驱动电路及红外线发射器组成。当指令键被按下时,指令信号产生电路便产生所需要的控制信号,控制指令信号经调制电路调制后,最终由驱动电路驱动红外线发射器,发出红外线遥控指令信号。 接收器由红外线接收器件、前置放大电路、解调电路、指令信号检出电路、记忆及驱动电路、执行电路组成。当红外接收器件收到发射器的红外指令信号时,它将红外光信号变成电信号并送到前置放大电路进行放大,再经过解调器后,由信号检出电路将指令信号检出,最后由记忆电路和驱动电路驱动执行电路,实现各种操作。 控制信号一般以某些不同的特征来区分,常用的区分指令信号的特征是频率和码组特征,即用不同的频率或者编码的电信号代表不同的指令信号来实现遥控。所以红外遥控系统通常按照产生和区分控制指令信号的方式和特征分类,常分为频分制红外线遥控和码分制红外线遥控。 关键词:4×4矩阵键盘;AT89C51;接收器件;震荡特性 1 引言 红外线遥控是目前使用很广泛的一种通信和遥控技术。由于红外线遥控装置具有体积小、功耗低、功能强、成本低等特点,因而,继彩电、录像机之后,在录音机、音响设备、空凋机以及玩具等其它小型电器装置上也纷纷采用红外线遥控。工业设备中,在高压、辐射、有毒气体、粉尘等环境下,采用红外线遥控不仅完全可*而且能有效地隔离电气干扰。 远程遥控技术又称为遥控技术,是指实现对被控目标的遥远控制,在工业控制、航空航天、家电领域应用广泛。红外遥控是一种无线、非接触控制技术,具有抗干扰能力强,信息传输可靠,功耗低,成本低,易实现等显著优点,被诸多电子设备广泛采用,并越来越多的应用到计算机系统中。红外线又称红外光波,在电磁波谱中,光波的波长范围为 0.01um~1000um 。根据波长的不同可分为可见光和不可见光,波长为0.38um~0.76um 的光波可为可见光,红外线遥控是利用近红外光传送遥控指令的,波长为0.76um~1.5um 。用近红外作为遥控光源,是因为目前红外发射器件( 红外发光管 ) 与红外接收器件 ( 光敏二极管、三极管及光电池 ) 的发光与受光峰值波长一般为 0.8um~0.94um ,在近红外光波段内,二者的光谱正好重合,可获得较高的传输效率及较高的可靠性。随着远程教育系统的不断发展和日趋完善,利用多媒体作为教学手段各级各类学校都得到了广泛应用。但经常会遇到同时使用多种设备,如: DVD 、 VCD 、录像机、电视机等,由于各种设备都自带遥控器,而且不同的设备所遵循的红外传输规约也不尽相同,操纵这些设备得用多种控器,给使用者带来了诸多不便。基于单片机的控制指令来对多种设备进行远程控制,从而方便快捷的实现远程控制。红外遥控的特点是不影响周边环境的、于10 米)遥控中得到了广泛的应用。

红外遥控器信号接收和显示的设计1

电子电路综合设计总结报告 题目:红外遥控器信号接收和显示的设计 摘要: 随着电子技术的发展,红外遥控器越来越多的使用到电器设备中,但各种型号遥控器的大量使用带来的遥控器大批量多品种的生产,使得检测成为难题,因此智能的红外遥控器检测装置成为一种迫切的需要。在该红外遥控器信号的接收和显示电路以单片机和一体化红外接收器为核心技术,具体由单片机最小系统、单片机和PC机间的通信模块、红外接收模块、数码管显示模块和流水灯模块组成。在本系统的设计中,利用红外接收器接收遥控器发出的控制信号,并通过软件编程将接收信号存储、处理、比较,并将数据处理送至数码管显示模块。总之,通过对电路的设计和实际调试,可以实现红外遥控器信号的接收和显示功能。根据比较接收信号的不同,在数码管显示电路及流水灯电路上显示相应的按键数字或闪烁变化功能,并可实现单片机及PC机之间的通信功能,使得控制信号能在PC机上显示。

关键词:单片机红外接收器HS0038 解码串口调试

设计任务 结合单片机最小电路和红外线接收接口电路共同设计一个基于单片机的红外遥控信号接收和转发系统,用普通电视机遥控器控制该系统,使用数码管显示信号的接收结果。 1、实现单片机最小系统的设计。 2、当遥控器按下数字键时,在数码管上显示其键值。如按下数字键1,则在数码管上显示 号码01。 3、当遥控器按下音量△及音量▽时,用两位数码的周围段实现顺时针或者逆时针旋转的流 水灯功能。(为使得音量的增减清晰显示,试验中在单片机的P1口外接一排流水灯,具体功能的实现见方案的可行性论证) * 运用串口调试助手,在遥控器有按键按下时,将其键值显示在PC机上。 * 当遥控器按下频道△及频道▽时,在数码管上显示加1或减1后的数值。 一、系统方案比较和论证 1、方案比较和选择 为了实现系统整体功能,红外解码部分是核心,红外解码是指将遥控发射器所产生的红外遥控编码脉冲所对应的键值翻译出来的过程。下面将系统方案做一论证,通常有硬件解码和软件解码两种方案。 方案一:此方案中,使用专用遥控器作为控制信号发出装置,当按下遥控器的按键后,一体化红外接收装置接收到遥控器发出的设置控制信号,然后将信号送到专用的解码芯片中进行解码,解码后将信号送到单片机,由单片机查表判断这个信号是按键数值信号或控制音量、频道等信号,当确认是何种信号后,启动子程序,然后进行查询。每次红外接收头接收到红外信号传到解码器中,解码器解码完毕后送到单片机,单片机再通过查表确定这些数值并进行相应功能的控制。设计原理图如图1所示。 图1、方案一设计原理图 方案二:此方案中,采用普通的家用遥控器作为控制信号发出装置,当按下遥控器的按键后,一体化红外接收装置接收到遥控器发出的红外线控制信号,然后把这个信号转换成电信号,传到单片机中,利用单片机对这个信号进行解码,解码完成后查表确定是按键数值信号或控制音量、频道等信号,启动子程序,进行相应的显示数字等功能。然后查询,重复上述流程。设计原理图如图2所示。

相关主题