搜档网
当前位置:搜档网 › 武汉理工大学通信原理课设

武汉理工大学通信原理课设

武汉理工大学通信原理课设
武汉理工大学通信原理课设

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:

题目:数字基带通信系统的设计与建模

初始条件:

(1)MAX+plus、Quartus II、ISE等软件;

(2)课程设计辅导书:《通信原理课程设计指导》

(3)先修课程:数字电子技术、模拟电子技术、电子设计EDA、通信原理要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

(1)课程设计时间:;

(2)课程设计题目:数字基带通信系统的设计与建模;

(3)本课程设计统一技术要求:按照要求对题目进行逻辑分析,了解数字基带通信系统,画出绝相变换器与相绝变换器的仿真模型,并记录实验结果波形,对实验结果进行分析;(4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并标明参考文献至少5篇;

(5)写出本次课程设计的心得体会(至少500字)。

时间安排:第19周

参考文献:

江国强.EDA技术与应用. 北京:电子工业出版社,2010

John G. Proakis.Digital Communications. 北京:电子工业出版社,2011

指导教师签名:年月日

系主任(或责任教师)签名:年月日

摘要

数字基带通信系统作为现在主流的信息传输方式,研究其传输特性的非常有必要的。数字通信系统主要的两种通信模式: 数字频带传输通信系统,数字基带传输通信系统。在信息传输的时候,必须对其编码和解码的操作,能够有效地避免信息的失真,保证信息的完整性。在信息编码和解码过程,主要采用的是绝相和相绝的方式,这种方式安全,可靠,高效。在基带传输中,主要采用位同步。同步信号的提取方式采用自同步方式(直接法)。同步系统性能的好坏将直接影响通信质量的好坏,甚至会影响通信能否正常进行。本次课程设计就是讨论的基带传输系统。

关键词:通信原理,绝相,相绝,基带系统

目录

1 ISE软件介绍 (4)

1.1 ISE软件简介 (4)

1.2 ISE工程设计流程 (4)

2数字基带系统概述 (5)

2.1数字基带系统介绍 (5)

2.2 数字基带信号 (6)

2.3数字基带传输系统 (6)

2.4数字基带传输的要求及常用码型 (7)

3 数字基带通信系统的设计与建模 (8)

3.1实现原理介绍 (8)

3.2含绝相变换器和相绝变换器的基带系统的设计与建模 (8)

3.2.1系统模型 (8)

3.2.2 D触发器设计 (9)

3.2.3 异或门设计 (10)

3.3绝相变换器的设计 (11)

3.3.1绝相变换器的设计原理 (11)

3.3.2绝相变换器的代码 (12)

3.3.3绝相变换器的仿真分析 (13)

3.4相绝变换器的设计 (13)

3.4.1相绝变换器的电路设计 (13)

3.4.2相绝变换器的代码 (14)

3.4.3 相绝换器的仿真分析 (15)

3.5绝相和相绝变换器的综合设计 (15)

3.5.1绝相和相绝的基带系统的建模 (15)

3.5.2综合设计代码 (16)

3.5.3综合设计仿真分析 (17)

4 心得体会 (17)

5参考文献 (18)

本科生课程设计成绩评定表 (19)

1 ISE软件介绍

1.1 ISE软件简介

ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。相对容易使用的、首屈一指的PLD设计环境! ISE将先进的技术与灵活性、易使用性的图形界面结合在一起,不管您的经验如何,都让您在最短的时间,以最少的努力,达到最佳的硬件设计。

1.2 ISE工程设计流程

利用Xilinx公司的ISE开发设计软件的工程设计流程,具体分为五个步骤:即输入(Design Entry)、综合(Synthesis)、实现(Implementation)、验证(Verification)、下载(Download)。

(1)图形或文本输入(Design Entry)

图形或文本输入包括原理图、状态机、波形图、硬件描述语言(HDL),是工程设计的第一步,ISE集成的设计工具主要包括HDL编辑器(HDL Editor)、状态机编辑器(StateCAD)、原理图编辑器(ECS)、IP核生成器(CoreGenerator)和测试激励生成器(HDL Bencher)等。常用的设计输入方法是硬件描述语言(HDL)和原理图设计输入方法。

(2) 综合(Synthesis)

综合是将行为和功能层次表达的电子系统转化为低层次模块的组合。一般来说,综合是针对VHDL来说的,即将VHDL描述的模型、算法、行为和功能描述转换为FPGA/CPLD基本结构相对应的网表文件,即构成对应的映射关系。

在Xilinx ISE中,综合工具主要有Synplicity公司的Synplify/Synplify Pro,Synopsys 公司的FPGA Compiler II/ Express, Exemplar Logic公司的 LeonardoSpectrum和Xilinx ISE 中的XST等,它们是指将HDL语言、原理图等设计输入翻译成由与、或、非门,RAM,寄存器等基本逻辑单元组成的逻辑连接(网表),并根据目标与要求优化所形成的逻辑连接,输出edf和edn等文件,供CPLD/FPGA厂家的布局布线器进行实现。

(3) 实现(Implementation)

实现是根据所选的芯片的型号将综合输出的逻辑网表适配到具体器件上。

Xilinx ISE的实现过程分为:翻译(Translate)、映射(Map)、布局布线(Place & Route)等3个步骤。

ISE集成的实现工具主要有约束编辑器(Constraints Editor)、引脚与区域约束编辑器(PACE)、时序分析器(Timing Analyzer)、FPGA底层编辑器(FGPA Editor)、芯片观察窗(Chip Viewer)和布局规划器(Floorplanner)等。

(4) 验证(Verification)

验证(Verification)包含综合后仿真和功能仿真(Simulation)等。功能仿真就是对设计电路的逻辑功能进行模拟测试,看其是否满足设计要求,通常是通过波形图直观地显示输入信号与输出信号之间的关系。综合后仿真在针对目标器件进行适

配之后进行,综合后仿真接近真实器件的特性进行,能精确给出输入与输出之间的信号延时数据。

ISE可结合第三方软件进行仿真,常用的工具如Model Tech公司的仿真工具ModelSim和测试激励生成器HDL Bencher ,Synopsys公司的VCS等。通过仿真能及时发现设计中的错误,加快设计中的错误,加快设计进度,提高设计的可靠性。

(5) 下载(Download)

下载(Download)即编程(Program)设计开发的最后步骤就是将已经仿真实现的程序下载到开发板上,进行在线调试或者说将生成的配置文件写入芯片中进行测试。在ISE中对应的工具是iMPACT。

2数字基带系统概述

2.1数字基带系统介绍

在上世纪60 年代出现了数字传输技术,它采用了数字信号来传递信息,从此通信进入了数字化时代。目前,通信网已基本实现数字化,在我国公众通信网中传输的信号主要是数字信号。数字通信技术的应用越来越广泛,例如数字移动通信、数字卫星通信、数字电视广播、数字光纤通信、数字微波通信、数字视频通信、多媒体通信等等。数字通信系统主要的两种通信模式: 数字频带传输通信系统,数字基带传输通信系统。

数字基带信号—指未经调制的数字信号,它所占据的频谱是从零频或很低频率开始的。

数字基带传输系统—指不经载波调制而直接传输数字基带信号的系统,常用于传输距离不太远的情况下。

研究数字基带传输系统的原因:实际中,基带传输不如频带传输应用广泛,但对基带传输的研究仍有非常重要的意义。这是因为:第一,数字基带系统在近程数据通信系统中广泛采用;第二,数字基带系统的许多问题也是频带传输系统必须考虑的问题;第三,随着数字通信技术的发展,基带传输这种方式也有迅速发展的趋势,它不仅用于低速数据传输,而且还用于高速数据传输;第四,在理论上,任何一个线性调制的频带传输系统,总是可以有一个等效的基带载波调制系统所替代。因此,很有必要对基带传输系统进行综合系统的分析。

2.2 数字基带信号

通信的根本任务是远距离传输信息,准确地传输数字信息是数字通信中的一个重要环节。在数字传输系统中,其传输对象通常是二进制数字信息。它可能是来自计算机、网络或其他数字设备的各种数字代码,也可能来自数字电话终端的脉冲编码信号。数字信息在一般情况下可以表示为一个数字序列:

a0,a1,a2……an, 简记为{an}。an 是数字序列的基本单元,称为码元。每一个码元只能取离散的有限个值,例如在二进制中,an 取0 或 1 两个值;在M 进制中,an 取0,1……M-1 等M个值,或者取二进制码的M种排列。由于码元只有有限个可能取值,所以通常用不同幅度的脉冲表示码元的不同取值,例如:用幅度为A 的矩形脉冲表示1,用幅度为-A 的矩形脉冲表示为0。这种脉冲信号被称为数字基带信号,这是因为它们所占据的频带通常从直流和低频开始。

2.3数字基带传输系统

基带传输包含着数字通信技术的许多问题,频带传输是基带信号调制后再传输的,因此频带传输也存在基带问题。基带传输的许多问题,频带传输同样须考虑。理论上还可证明,任何一个采用线性调制的频带传输系统,总是可以

由一个等效的基带传输系统来代替。数字基带系统的基本结构

n(t)

数字基带

信号

G1(w)

C(w)

图2.3数字基带传输系统框图

信道:允许基带信号通过的媒质。信道的传输特性通常不满足无失真传输条件,恒参信道对信号传输的影响主要是线形畸变;随参信道对信号传输的影响主要有频率弥散现象(多径传播)、频率的选择性衰落。信道的线性噪声和加性噪声的影响。在通信系统的分析中,常常把噪声n(t)等效,集中在信道中引入。接收滤波器:主要作用是滤除带外噪声,对信道特性均衡,使输出的基带波形有利于抽样判决。

抽样判决器:它是在传输特性不理想及噪声背景下,在由位定时脉冲控制的特殊点对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。自同步法的同步提取电路:有两部分组成,包括非线型变换处理电路和窄带滤波器或锁相环。非线型变换处理电路的作用是使接收信号或解调后的数字基带信号经过非线型变换处理电路后含有位同步分量或位同步信息。窄带滤波器或锁相环的作用是滤除噪声和其他频谱分量,提取纯净的位同步信号。

2.4数字基带传输的要求及常用码型

在实际基带传输系统中,并非所有的原始基带数字信号都能在信道中传输。例如,有的信号含有丰富的直流和低频成分,不便提取同步信号;有的信号易于形成码间串扰等。因此,基带传输系统首先面临的问题是选择什么样的信号形式,即传输码型的选择和基带脉冲波形的选择。为了在传输信道中获得优良的传输特性,一般要将信码信号变化为适合于信道传输特性的传输码,即进行适

当的码型变换

对传输码型的要求如下:

(1)传输信号的频谱中不应有直流分量,低频分量和高频分量也要小。(2)码型中应包含定时信息,有利于定时信息的提取,尽量减小定时抖动。(3)码型变换设备要简单可靠。(4)码型具有一定检错能力,若传输码型有一定的规律性,则可根据这一规律性检测传输质量,以便做到自动检测。(5) 编码对信息类型不应有任何限制,即对信源具有透明性。常用的码型有AMI 码、HDB3 码、分相码、反转码AMI 等

3 数字基带通信系统的设计与建模

3.1实现原理介绍

数字通信的基带传输方式是数字通信的最基本的传输方式,如利用中继方式在长距离上直接传输PCM 信号、用双绞线进行局域网内的计算机数据传输等。本课题讨论的主要对象是数字基带传输系统中的收发系统,具体是对包含绝相变换器的基带系统进行设计与建模。对如何在信道中实现可靠传输不做探讨。这主要是因为以 FPGA/CPLD为目标器件,很实现对基带传输系统中发送滤波器和接收滤波器的设计。

3.2含绝相变换器和相绝变换器的基带系统的设计与建模3.2.1系统模型

绝相变换是指将二进制非归零的数据码元变换成反映其相邻码元的电位

化的一种新的码元。

(3.1)

而相绝变换是绝相变换的反变换,公式如下

(3.2)

图3.2.1基带信号传输模型

由设计原理图可知,系统采用的的是D触发器和异或门实现的,绝相变换器和相绝变换器运用这两个元件的顺序不太一样而已,大体的思路基本一样。

3.2.2 D触发器设计

D触发器的原理比较简单,就是通过时序信号的上升沿来控制的信号的输出。当上升沿到来时,Q=D输出信号。

图3.2.2.1 D触发器的电路图

图3.2.2.2 D触发器的封装图

D触发器的实验代码:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity D_FF is

port(D,CLK:in std_logic;

Q:out std_logic);

end D_FF;

architecture a of D_FF is

begin

process(CLK) is

begin

if(CLK' event and CLK='1') then

Q<=D;

end if;

end process ;

end a ;

3.2.3 异或门设计

异或门就是信号的A和B进行运算,最后L输出结果。得到结果。

图3.2.3.1 异或门的电路图

图3.2.3.2 异或门的封装与

异或门代码:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity xor1 is

port(A,B:in std_logic;

L:out std_logic);

end xor1;

architecture ex1 of xor1 is

begin

L<=A xor B;

end ex1;

3.3绝相变换器的设计

3.3.1绝相变换器的设计原理

绝相变换器的电路,如果按图3.2.1的基带信号传输,由于异或门为组合逻辑器件,因此其输出信号可能出现冒险现象,为了克服冒险现象,在后面增加一个D触发器则可保证正确的绝相变换信号输出。图中:DATAIN——码元输入;CLK——时钟输入;DATAOUT——绝相变换输出。

图3.3.1.1 绝相变换器的电路图

图3.3.1.2 绝相变换器的封装图3.3.2绝相变换器的代码

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity juexiang is

port(DATAIN,CLK :in std_logic;

DATAOUT :out std_logic:='0');

end juexiang;

architecture ae of juexiang is

component xor1 is

port(A,B:in std_logic;L:out std_logic);

end component xor1;

component D_FF is

port(D,CLK:in std_logic;Q:out std_logic);

end component D_FF;

signal DX,QX:std_logic;

begin

A:xor1 port map(DATAIN,QX,DX);

B:D_FF port map(DX,CLK,QX);

C:D_FF port map(DX,CLK,DATAOUT);

end ae;

3.3.3绝相变换器的仿真分析

图3.3.3 绝相变换器的仿真图

仿真运行后,D 触发器是在时钟信号上升沿触发,每次触发后,判断ak ( 即data-in) 与bk 1 ( 即前一时刻data-out) ,若相同,即此时刻data-out为0,反之为1。如图 3.3。3 中800ns 时刻时钟处于上升沿,判断此时data-in 为1 且前一时刻data-out 为0,两者不同,故此时刻data-out输出1。由仿真波形图可以看出,其他基本正确,可以得出真值表如下。

根据仿真图的结果分析得到,符合,达到实验的目的。

3.4相绝变换器的设计

3.4.1相绝变换器的电路设计

相绝变换是绝相变换的反变换,其变换规则为根据表达式画出相绝变换器电路图如图3.4.1.1图中用D 触发器作为码元延迟器。另外,为了克服组合逻辑电路带来的冒险现象,在输出端增加了一个 D 触发器。图中:XDATAIN——相对码输入;XCLKIN——时钟输入;JDATAOUT——绝对码输出。

图3.4.1.1 相绝变换器的电路图

图3.4.1.2 相绝变换器的封装图

3.4.2相绝变换器的代码

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity xiangjue is

port(XDATAIN,XCLK:in std_logic;

XDATAOUT:out std_logic);

end xiangjue;

architecture be of xiangjue is

component xor1 is

port( A, B:in std_logic; L:out std_logic);

end component xor1;

component D_FF i s

port( D, CLK:in std_logic; Q:out std_logic);

end component D_FF ;

signal XD,XQ:std_logic;

begin

A:xor1 port map(XDATAIN,XQ,XD);

B:D_FF port map(XDATAIN,XCLK,XQ);

C:D_FF port map(XD,XCLK,XDATAOUT);

end be;

3.4.3 相绝换器的仿真分析

图3.4.3 相绝变换器仿真图

仿真运行后, D 触发器是在时钟信号上升沿触发,每次触发后,判断bk ( 即data-in) 与bk 1 ( 即前一触发时刻data-in) ,若相同即此时刻data-out 为0,反之为一。如图3.4。3 中80ns 时刻时钟处于上升沿,判断此时data-in 为1 且前一时刻data-in 为0,两者不同,故此时刻data-out输出1。由此我们得出真值表

根据仿真图的结果分析得到,符合,达到实验的目的

3.5绝相和相绝变换器的综合设计

3.5.1绝相和相绝的基带系统的建模

绝相和相绝变换的基带系统VHDL设计模型如图所示。图中将以上设计的绝相变换器和相绝变换器连接起来,构成了一个基带系统。为了仿真方便,图中采用了同一时钟,而在实际的系统中,通常需要在接收端进行同步时钟信号提取。图中:JUE_X_OUT——绝相变换输出(相对码);DATA_IN——绝对码输入;CLK_IN——时钟输入;DATA_OUT——绝对码输出。

图3.5.1.1 绝相和相绝组合的电路图

图3.5.1.2 绝相和相绝组合的封装图3.5.2综合设计代码

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity alldesign is

port(DATA_IN,CLK_IN:in std_logic;

DATA_OUT :out std_logic);

end alldesign ;

architecture ce of alldesign is

component juexiang is

port(DATAIN,CLK :in std_logic;DATAOUT :out std_logic);

end component juexiang;

component xiangjue is

port(XDATAIN,XCLK:in std_logic;XDATAOUT:out std_logic);

end component xiangjue ;

signal JUE_X_OUT:std_logic;

begin

g1:juexiang port map(DATA_IN,CLK_IN,JUE_X_OUT);

g2:xiangjue port map(JUE_X_OUT,CLK_IN,DATA_OUT);

end ce;

3.5.3综合设计仿真分析

图3.5.3综合设计仿真图

根据仿真输出,显示了绝对码输入、相对码输出(绝相变换后)和经相绝变换后的绝对码输出。可知该系统经过绝相和相绝变换后能正确地恢复原绝对码信号,只是有一点延时,不会造成太大影响,忽略延时,基本正确。

4 心得体会

经过本学期对通信原理的学习,学习到了许多关于通信方面的知识。对基带通信系统有了基本的了解。加上自己掌握的EDA知识,本以为能够轻松完成这次课程设计的,但是正真实现的时候遇到了很多问题。最后经过我们组内成员的讨论,完成了整个设计任务。

在通信原理课程设计的学习中,得到了很多东西。一、让我养成了预习的好习惯,培养了我的动手能力。二、在课程设计过程中,每个步骤我都亲自去做,不放弃,坚持寻找答案。三、让我在探索中求得真知。经过这一周,让我的动手能力有了明显的提高。

数字通信的基带传输方式是数字通信的最基本的传输方式,要探讨这种传输方式,先得查阅资料,了解其传输的原理。在上网查阅资料后,知道是通过绝相和相绝的编码和解码方式,使得基带信号得以传输。在课设中,以VHDL为目标器件,很难实现对基带传输系统中发送滤波器和接收滤波器的设计。对于这个课程设计,我在探索中学习、在实践中掌握。

通过这次课程设计实践,我更深刻的了解了ISE的运用,我也更加系统的复习的通信原理的课程精髓。在课设完成过程中,我遇到了许多问题,也非常的困扰着我。但是我通过自己的努力,上网查阅资料、翻阅书籍,同时请教其他同学,最终解决了问题。虽然过程比较艰苦,但是结果是令人喜悦的。在此期间,我也学习到了,只要坚持努力,最终的结果是不会差的,会给我们带来不一样的惊喜。

5参考文献

[1]康有光,秦臻,张林.电子技术基础数电部分.北京:高等教育出版社.2014

[2] 王虹,卢珞先. 通信系统原理. 国防工业出版社. 2014

[3] 罗伟雄、韩力、原东昌、丁志杰. 通信原理与电路. 北京理工大学出版社

[4] 曹志刚、钱亚生. 现代通信原理. 清华大学出版社.2007

[5] 南利平. 通信原理简明教程. 清华大学出版社

本科生课程设计成绩评定表

指导教师签字:

年月日

编译原理课程设计LL(1)文法 do while 三地址输出 报告加代码

学号: 课程设计 题目编译原理 学院计算机科学与技术 专业计算机科学与技术 班级 姓名 指导教师 2 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: DO-WHILE循环语句的翻译程序设计(LL(1)法、输出三地址表示)初始条件: 理论:学完编译课程,掌握一种计算机高级语言的使用。 实践:计算机实验室提供计算机及软件环境。如果自己有计算机可以在其上进行设计。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)写出符合给定的语法分析方法的文法及属性文法。 (2)完成题目要求的中间代码三地址表示的描述。 (3)写出给定的语法分析方法的思想,完成语法分析和语义分析程序设计。 (4)编制好分析程序后,设计若干用例,上机测试并通过所设计的分析程序。 (5)设计报告格式按附件要求书写。课程设计报告书正文的内容应包括: 1 系统描述(问题域描述); 2 文法及属性文法的描述; 3 语法分析方法描述及语法分析表设计; 4 按给定的题目给出中间代码形式的描述及中间代码序列的结构设计; 5 编译系统的概要设计; 6 详细的算法描述(流程图或伪代码); 7 软件的测试方法和测试结果; 8 研制报告(研制过程,本设计的评价、特点、不足、收获与体会等); 9 参考文献(按公开发表的规范书写)。 时间安排: 设计安排一周:周1、周2:完成系统分析及设计。 周3、周4:完成程序调试及测试。 周5:撰写课程设计报告。 设计验收安排:设计周的星期五第1节课开始到实验室进行上机验收。 设计报告书收取时间:设计周的次周星期一上午10点。 指导教师签名: 2011年 12月 23日 系主任(或责任教师)签名: 2011年 12月 23日

武汉理工通信原理课设-时分复用数字通信系统

武汉理工大学《数字通信系统》课程设计 课程设计任务书 学生姓名: v 专业班级: 指导教师:周颖工作单位:信息工程学院 题目:简易两路时分复用电路设计 初始条件: 具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、完成一个简易的两路时分复用通信电路的设计,实现两路不同 模拟信号的分时传输功能。 2、在信号接收端能够完整还原出两路原始模拟信号。 3、选用相应的编码传输方式与同步方式,进行滤波器设计。 4、安装和调试整个电路,并测试出结果; 5、进行系统仿真,调试并完成符合要求的课程设计书。 时间安排: 一周,其中3天硬件设计,2天硬件调试 指导教师签名:年月日 系主任(或责任教师)签名:年月日

武汉理工大学《数字通信系统》课程设计 目录 摘要 (1) 1.概述 (2) 1.1 PAM与抽样定理 (2) 1.2 时分复用技术 (2) 2.电路整体方案 (3) 2.1系统方案原理 (3) 2.2系统组成框图 (3) 3.各电路模块原理 (4) 3.1PAM调制电路 (4) 3.2.1电路方案 (4) 2.2.2电路原理图 (4) 2.2.3乘法器 (5) 2.2 时分复用电路 (5) 2.2.1电路原理 (5) 2.2.2加法器 (6) 2.3 信号还原电路 (6) 2.3.1电路方案 (6) 2.3.2电路原理图 (7) 2.3.3低通滤波器 (7) 4. Multisim仿真 (8) 4.1整体仿真图 (8) 4.2仿真结果 (8) 5. 实物测试 (10) 6.总结 (11) 7.附录 (12) 附录1 元件清单 (12) 附录2 芯片资料 (12) 参考文献 (14)

通信原理课程设计报告2

¥ 课程设计报告? < 课程名称通信原理 设计题目 DSB与2ASK调制与解调 专业通信工程 班级 学号 姓名 完成日期 …

课程设计任务书 设计题目:DSB与2ASK调制与解调 设计内容与要求: 设计内容: 1.根据DSB的调制原理设计线路,进行仿真模拟调制DSB的调制和解调过程,并通过仿真软件观察信号以及的调制过程中信号波形和频谱的变化。 2. 根据ASK的调制原理设计线路,进行仿真模拟调制DSB的调制和解调过程,并通过仿真软件观察信号以及的调制过程中信号波形和频谱的变化。 3.在设计过程中分析信号变化的过程和思考仿真过程的设计原理。 ; 设计要求: 1.独立完成DSB与ASK的调制与解调; 2.运用仿真软件设计出DSB与ASK的调制线路 3.分析信号波形和频谱 指导教师:范文 2012年12月16日 课程设计评语 ( 成绩: 指导教师:_______________

年月日

一.调制原理: 调制: 将各种数字基带信号转换成适于信道传输的数字调制信号(已调信号或频带信号); 时域定义:调制就是用基带信号去控制载波信号的某个或几个参量的变化,将信息荷载在其上形成已调信号传输,而解调是调制的反过程,通过具体的方法从已调信号的参量变化中将恢复原始的基带信号。 频域定义:调制就是将基带信号的频谱搬移到信道通带中或者其中的某个频段上的过程,而解调是将信道中来的频带信号恢复为基带信号的反过程. 根据所控制的信号参量的不同,调制可分为: 调幅,使载波的幅度随着调制信号的大小变化而变化的调制方式。 调频,使载波的瞬时频率随着调制信号的大小而变,而幅度保持不变的调制方式。 调相,利用原始信号控制载波信号的相位。 调制的目的是把要传输的模拟信号或数字信号变换成适合信道传输的信号,这就意味着把基带信号(信源)转变为一个相对基带频率而言频率非常高的代通信号。该信号称为已调信号,而基带信号称为调制信号。调制可以通过使高频载波随信号幅度的变化而改变载波的幅度、相位或者频率来实现。调制过程用于通信系统的发端。在接收端需将已调信号还原成要传输的原始信号,也就是将基带信号从载波中提取出来以便预定的接受者(信宿)处理和理解的过程。该过程称为解调。

编译原理

致谢: 2005级周朝丽、丛志环、张云华、周娇、陈亮、陶锌、张世强等同学不仅对讲义的进一步完善提出了宝贵的意见和建议,而且提出的许多富有探讨性的问题,不仅令我进一步思考,同时也令讲义的许多内容进一步丰富,在此,本人、现在已经看到、未来将会看到该讲义的人对各位的“答疑解惑”表示由衷的谢意! 参考书目: 1.编译原理,Alfred V. Aho, Ravi Sethi, Jeffrey D. Ullman著,李建中,姜守旭译。机械工 业出版社,2003 Compilers Principles, Techniques, and Tools(英文版名字) 2.编译原理及实践,(美)Kenneth C. Louden著,冯博琴等译。机械工业出版社,2000 Compiler Construction: Principles and Practice (英文版名字) 3.编译原理习题与解析(第2版)/伍春香编著-.--北京:清华大学出版社,2006 4.编译原理=Compiling Principle/周经野,张继福主编-.--武汉:武汉理工大学出版社,2003 5.程序设计语言编译方法. 肖军模编著. 大连理工大学出版社,2000。 6.程序设计语言编译原理/陈火旺等编.--北京:国防工业出版社,1984 7.编译方法/金成植编.--北京:高等教育出版社,1984 8.编译原理/蒋立源主编.--西安:西北工业大学出版社,1993.8 9.编译原理和技术/陈意云, 马万里编译.--安徽:中国科学技术大学出版社,1989.12 10.编译原理及其习题解答/何炎祥...[等]编著-.--武汉:武汉大学出版社,2004。 11.形式语言与自动机理论 12.FORTRAN语言程序设计,谭浩强、田淑清编著,高等教育出版社,1987年5月。 13.PASCAL程序设计,郗曼丽编著,陕西科学技术出版社。 14.讲义的一些部分来源于互联网上的多种资源,其链接难以一一提供,在此,谨向大家 致以真诚地敬意和诚挚的谢意,感谢大家通过互联网提供的极为有益的帮助和指导。 1

武汉理工大学[机械设计+机械原理]试卷与答案

理工大学2014年《机械设计+机械原理》考研模拟试题一 编写:亿研考研团队 一.判断题(正确的在题后括号填“T”,错误的填“F”,共15分) 1、在铰链四杆机构中,通过取不同构件作为机架,则可以分别得到曲柄摇杆机构、双曲柄 机构和双摇杆机构。( F )杆长和条件 2、在转动副和移动幅中都存在复合铰链。( F )只有转动副中有 3、槽轮机构的运动系数 不会等于零或1。(T ) 0和1之间 4、一只直齿轮和一只斜齿轮,只要满足两者的模数和压力角相等就可以正确啮合。( F ) 5、渐开线上个点的压力角不同,其中基圆上的压力角为0 。( T ) 6、硬齿面齿轮只可能产生轮齿折断,不会产生齿面点蚀。( F ) 7、带速越高,产生的离心应力越大,因此应将带传动布置在多级传动的低速级。( F )带传动要考虑载荷 8、平键连接结构简单、装拆容易、对中性好,但不能够同时承受径向力和轴向力。( T ) 9、设计圆柱齿轮传动时,通常使小齿轮的尺宽略大于大齿轮的尺宽,主要是为了提高小齿轮的强度。( F ) 10、滚动轴承座圈与轴颈的配合,通常采用基孔制。( T ) 11、万向联轴器既能用于两轴线有较大位移的场合,也能用于有较大径向位移的场合。( T ) 12、螺纹联接中,有时在一个螺栓上拧上两个螺母,目的是为了增加受载螺纹的圈数,有利于提高螺栓的强度。( F )提高螺母强度。 13、实际的轴多做成阶梯形,主要是为了减轻轴的重量,降低制造费用。( F ) 14、在多根三角带传动中,当一根带失效时,应将所有带更换。( T ) 15、链传动中,当主动链轮匀速转动时,链速是变化的,但链传动的平均传动比恒定不变(T) 二.选择题(每小题2分,共20分) 1.下面哪种情况下,存在死点 D 。 A、双曲柄机构; B、对心曲柄滑块机构,曲柄主动; C、曲柄摇杆机构,曲柄主动; D、曲柄摇杆机构,摇杆主动。 2.最适合凸轮高速运转的从动件运动规律是 C 。 A、等速运动; B、等加速运动与等减速运动; C、简谐运动; D、摆线运动。

通信原理期末考试试题(多套)

通信原理期末考试试题(多套)

66666666666666666666(A卷、闭卷) 课程名称通信原理A(2009.12)专业班级 题号一二三四五六七八九十总分 题分 备注: 学生不得在试题纸上答题 (含填空题、选择题等客观题) 一.是非题(正确打√,错误打×,每题2分,共10 分) 1.出现概率越大的消息,其所含的信息量越大。() 2.随机过程广义平稳则必将严格平稳。() 3.恒参信道的特性是可以通过线性网络来补偿的。() 4.由于DSB信号的调制制度增益是SSB的一倍,所以抗噪声性能比SSB好一倍。()5.2PSK存在反相工作现象,而2DPSK不存在,所

四.已知0 ()(1cos cos 2)cos AM s t K t K t t =+Ω+Ωω,且0 Ω<<ω。 1. 画出此已调波的频谱示意图。 (3分) 2. 画出包络解调器的原理框图。 (3分) 3. 试求使此AM 信号无包络失真的K 值。 (4分) 五.若消息代码序列为110000010100001, 1. 画出对应的全占空双极性码波形;(2分) 2. 画出对应的AMI 码波形; (4分) 3. 画出对应的HDB3码波形。 (4分) 六.图1、图2分别给出两种基带系统传输特性 图1 图2 1.试分析两个基带系统无码间串扰的最高码元速率。(6分) 2.设传输信息速率为3Mbps ,图1和图2系统能 否实现无码间串扰。(4分) 七.设某数字调制系统的码元传输速率为1000Bd , 载波频率为2000Hz 。 1.若发送数字信息为111010,试画出2ASK 信号波形和它的功率谱密度草图。(5分) 2.数字信息不变,试画出2DPSK 信号波形和它的功率谱密度草图(设初始相位为0)。(5分)。 八.在脉冲编码调制(PCM )系统中,若采用13 折线A 律编码,设最小量化间隔为1单位,已知抽样脉冲值为-118个单位:试求:

通信原理填空简答题—武汉理工

1.设每秒传送N 个M 进制码元,则码元速率为N ,信息传输速率为 N log 2M 。 2.在PCM30/32路基群帧结构中,TS0用来传输_帧同步信息 ,TS16用来传输信令信息 。 3.载波同步的方法一般可分为 插入导频法(外同步法)和 直接法(自同步法 。 4.香农公式表明通信系统的有效性和可靠性指标是一对矛盾。 5.模拟调制方式分_幅度调制(或线性调制) 和_角度调制(或非线性调制) 两大类,其中SSB 调制方式占用的带宽最窄,为 基带信号带宽 。 6.在相干解调中,要求s(t)与发送端实现载波同步,解调后的脉冲信号对准最佳取样判决位臵的过程叫位同步(码元同步),把各组数据区别开来则需要 群同步(帧同步)。 7.数字通信与模拟通信相比较其最大特点是占用频带宽和噪声不积累。 8.调制信号的最高频率为Fh ,则常规调幅信号的带宽为 2f h ,单边带信号的带宽为 f h ,双边带信号的带宽为 2f h ,残留边带信号的带宽为 f h ~2f h 。 9.在2ASK 、2FSK 、2PSK 通信系统中,可靠性最好的是 2PSK ,有效性最差的是 2FSK 。 10.在独立等概的条件下,M 进制码元的信息量是二进制码元的 log 2M 倍;在码元速率相同情况下,M 进制码元的息速率是二进制的 log 2M 倍。 11.热噪声的频域特性表现为 均匀无限宽、时域特性表现为 杂乱无章 、统计特性表现为 正态分布。 12.恒参信道对信号传输的影响主要体现在 幅频特性和相频特性的不理想,其影响可以采用均衡 措施来加以改善。 13.随参信道的三个特点是:传输损耗随时间变化、传输延时随时间变化 和 衰落 。 14.在模拟通信系统中注重强调变换的 线性关系 。 15.在调制技术中通常又将幅度调制称之为 线性调制 ,而将频率调制和相位调制称之为非线性调制 。 16.DSB 、SSB 、VSB 三种调制方式,其已调信号所占用带宽大小的关系为DSB > VSB > SSB 。 17.常规双边带调幅可以采用 包络检波 或者 同步解调 方法解调。 18.在AM 、DSB 、SSB 、FM 中, SSB 的有效性最好, FM 的可靠性最好, AM 的有效性与DSB 相同。 19.在模拟调制中,通常FM 与AM 相比,FM 对传输的信噪比要求要比AM 对传输的信噪比要求要大 ;FM 占用的频带宽度比AM 占用的频带宽度要 宽 。 20.调制制度增益G 越大表示 该调制方式的抗干扰 性能越好,通常FM 的调制制度增益G 要> AM 的调制制度增益G 。 21.通常将输入信噪比下降到某值时,若继续下降,则输出信噪比将急剧恶化的现象称之为 门限效应 22.模拟通信的多路复用多采用频分复用技术,数字通信的多路复用多采用时分复用技术。 23.3HDB 码于AMI 码相比,弥补了AMI 码中长串连零的问题,其方法是用取代节 替代 四连零 。 24.根据功率密度谱关系式,一个可用的数字基带信号功率密度谱中必然包含连续谱分量。 25.根据数字基带信号的功率密度谱可知,要使所选取的码型中具有时钟分量该码型必须是 归零 码。 26.随机序列的功率谱中包括连续谱和离散谱两大部分,其中连续谱由交变波决定,离散谱由恒定波决定。 27.3HDB 码的功率谱中 不含有时钟分量,其能量主要集中在 1/2时钟频率 附近。 28.设码元的速率为2.048Mb/s ,则α=1时的传输带宽为 2.048MHZ ,α=0.5时传输带宽为1.536MHZ 29.理想低通时的频谱利用率为 2bit/s.Hz ,升余弦滚降时的频谱利用率为 1bit/s.Hz 。 30.将满足S T 2πωπω≤=???? ??+∑∞-∞→ S n S T T n H 条件的数字基带传输系统特性称为滚降特性,具有该特性的数字基带传输系统可实现 无码间串扰 传输。 31.可以获得消除码间干扰的3大类特性(系统)是: 理想低通特性、 滚降 特性和 部分相应系统。 32.在满足无码间干扰的条件下,频谱利用率最大可达到 2B/s.Hz 。 33.数字调制可以视作是模拟调制的 特例,利用数字信号的开关特性对载波控制的方式称为键控。 34.多进制数字调制与二进制调制相比,具有 频谱利用率高,抗干扰能力差的特点。 35.2FSK 信号当S f f f <-12时其功率谱将出现单峰;当S f f f >-12时其功率谱将出现 双峰 。 36.由于数字调相信号可以分解成 两彼此正交的调幅信号 ,因此数字调相可以采用 调幅方式来实现。 37.在数字调制传输系统中,PSK 方式所占用的频带宽度与ASK 的一样,PSK 方式的抗干扰能力比ASK 的强。 38.2DPSK 的解调方法有两种,它们分别是同步解调 和 差分解调(延时解调) 。 39.采用2PSK 传输中由于提取的载波存在相位模糊现象,该问题可以通过采用差分编码

通信原理2DPSK调制与解调实验报告

通信原理课程设计报告

一. 2DPSK基本原理 1.2DPSK信号原理 2DPSK方式即是利用前后相邻码元的相对相位值去表示数字信息的一种方式。现假设用Φ表示本码元初相与前一码元初相之差,并规定:Φ=0表示0码,Φ=π表示1码。则数字信息序列与2DPSK信号的码元相位关系可举例表示如2PSK信号是用载波的不同相位直接去表示相应的数字信号而得出的,在接收端只能采用相干解调,它的时域波形图如图2.1所示。 图1.1 2DPSK信号 在这种绝对移相方式中,发送端是采用某一个相位作为基准,所以在系统接收端也必须采用相同的基准相位。如果基准相位发生变化,则在接收端回复的信号将与发送的数字信息完全相反。所以在实际过程中一般不采用绝对移相方式,而采用相对移相方式。 定义?Φ为本码元初相与前一码元初相之差,假设: ?Φ=0→数字信息“0”; ?Φ=π→数字信息“1”。 则数字信息序列与2DPSK信号的码元相位关系可举例表示如下: 数字信息: 1 0 1 1 0 1 1 1 0 1

DPSK信号相位:0 π π 0 π π 0 π 0 0 π 或:π 0 0 π 0 0 π 0 π π 0 2. 2DPSK信号的调制原理 一般来说,2DPSK信号有两种调试方法,即模拟调制法和键控法。2DPSK 信号的的模拟调制法框图如图1.2.1所示,其中码变换的过程为将输入的单极性不归零码转换为双极性不归零码。 图1.2.1 模拟调制法 2DPSK信号的的键控调制法框图如图1.2.2所示,其中码变换的过程为将输入的基带信号差分,即变为它的相对码。选相开关作用为当输入为数字信息“0”时接相位0,当输入数字信息为“1”时接pi。 图1.2.2 键控法调制原理图 码变换相乘 载波 s(t)e o(t)

武汉理工大学网络教育学院

武汉理工大学网络教育学院介绍 网络教育报名专业: 专业层次学习期限学分工程造价专升本 2.5-5年 市场营销专升本 2.5-5年 机电一体化技术高起专 2.5-5年 工程造价高起专 2.5-5年 国际经济和贸易高起专 2.5-5年 市场营销高起专 2.5-5年 计算机信息管理高起专 2.5-5年 计算机信息管理高起专 2.5-5年 无机非金属材料工程专升本 2.5-5年 电子信息工程专升本 2.5-5年 电气工程及其自动化专升本 2.5-5年 无机非金属材料工程技术高起专 2.5-5年 电子信息工程技术高起专 2.5-5年 电气自动化技术高起专 2.5-5年 物流管理专升本,高起专 2.5-5年

土木工程专升本 2.5-5年汽车运用和维修高起专 2.5-5年汽车服务工程专升本 2.5-5年建筑工程技术高起专 2.5-5年建筑工程管理高起专 2.5-5年计算机使用技术高起专 2.5-5年计算机科学和技术专升本 2.5-5年机械设计制造及其自动化专升本 2.5-5年机械设计和制造高起专 2.5-5年会计学专升本 2.5-5年会计高起专 2.5-5年工商企业管理高起专 2.5-5年工商管理专升本 2.5-5年工程管理专升本 2.5-5年法学专升本 2.5-5年法律事务高起专 2.5-5年电子商务高起专,专升本 2.5-5年道路桥梁和渡河工程专升本 2.5-5年道路桥梁工程技术高起专 2.5-5年专业相关的课程设置: 专业介绍(专升本) 汽车服务工程专业

本专业培养具有扎实的汽车产品及技术基础,必要的国际贸易、工商管理理论知识,具有一定的现代信息技术和网络技术知识,具备“懂技术,善经营,会服务”的能力素质,能够适应汽车产品设计服务、汽车生产服务、汽车销售服务、汽车技术服务、汽车保险、汽车运输服务、物流经营等领域工作的高级复合型人才。 主要课程:机械设计基础B、汽车构造、汽车运用工程、汽车服务工程、汽车维修工程、物流工程、交通运输学、汽车服务系统规划、汽车营销和策划、汽车电器设备、汽车电子控制系统等。 计算机科学和技术专业 本专业培养计算机科学和技术领域研究和使用高级人才。本专业培养计划和国际接轨,通过培养计划实施及理论、抽象、设计实验等教育过程,使学生不仅具有坚实的专业知识,而且具有从事计算机科学技术研究和使用、适应本学科飞速发展、涉足广泛学科领域的能力。 主要课程:高级语言程序设计、离散数学、汇编语言程序设计、计算机组成原理、计算机系统结构、数据结构、操作系统、编译原理、计算机网络、电路原理、系统分析和控制、信号处理原理、模拟电子技术等。 法学专业 法学专业主要培养系统掌握法学知识,熟悉我国法律和党的相关政策,能在国家机关、企事业单位和社会团体、特别是能在立法机关、行政机关、检察机关、审判机关、仲裁机构和法律服务机构从事法律工作的高级专门人才。 主要课程:法理学、中国法制史、宪法学、行政法和行政诉讼法、物权法、侵权行为法、民法学、商法学、知识产权法、经济法学、刑法学、民事诉讼法学、

武汉理工 机械原理考研试题及答案

武汉理工大学考研教育课程考试复习题及参考答案 机械原理 一、填空题: 1.机构具有确定运动的条件是机构的自由度数等于。 2.同一构件上各点的速度多边形必于对应点位置组成的多边形。 3.在转子平衡问题中,偏心质量产生的惯性力可以用相对地表示。 4.机械系统的等效力学模型是具有,其上作用有的等效构件。 5.无急回运动的曲柄摇杆机构,极位夹角等于,行程速比系数等于。 6.平面连杆机构中,同一位置的传动角与压力角之和等于。 7.一个曲柄摇杆机构,极位夹角等于36o,则行程速比系数等于。 8.为减小凸轮机构的压力角,应该凸轮的基圆半径。 9.凸轮推杆按等加速等减速规律运动时,在运动阶段的前半程作运动,后半程 作运动。 10.增大模数,齿轮传动的重合度;增多齿数,齿轮传动的重合度。 11.平行轴齿轮传动中,外啮合的两齿轮转向相,内啮合的两齿轮转向相。 12.轮系运转时,如果各齿轮轴线的位置相对于机架都不改变,这种轮系是轮系。 13.三个彼此作平面运动的构件共有个速度瞬心,且位于。 14.铰链四杆机构中传动角γ为,传动效率最大。 15.连杆是不直接和相联的构件;平面连杆机构中的运动副均为。 16.偏心轮机构是通过由铰链四杆机构演化而来的。 17.机械发生自锁时,其机械效率。 18.刚性转子的动平衡的条件是。 19.曲柄摇杆机构中的最小传动角出现在与两次共线的位置时。 20.具有急回特性的曲杆摇杆机构行程速比系数k 1。 21.四杆机构的压力角和传动角互为,压力角越大,其传力性能越。 22.一个齿数为Z,分度圆螺旋角为β的斜齿圆柱齿轮,其当量齿数为。 23.设计蜗杆传动时蜗杆的分度圆直径必须取值,且与其相匹配。 24.差动轮系是机构自由度等于的周转轮系。 25.平面低副具有个约束,个自由度。

武汉理工大学——通信原理实验报告调试图以及实验代码

实验一 >> close all; clear all; dt = 0.001; fm = 1; fc = 10; T = 5; t = 0: dt : T; mt = sqrt(2) * cos(2 * pi * fm * t); %N0 = 0.01 %AM modulation A = 2; s_am = (A + mt).* cos(2 * pi * fc * t); B = 2 * fm; %noise = noise_nb(fc, B, N0, t); %s_am = s_am + noise; figure(1); subplot(311); plot(t, s_am); hold on; plot(t, A + mt, 'r--'); title('AM调制信号及其包络'); xlabel('t'); %AM demodulation rt = s_am.* cos(2 * pi * fc * t); rt = rt - mean(rt); %[f, rf] = T2F(t, rt); dt = t(2) - t(1); T = t(end); df = 1/T; N = length(rt); f = -N/2 * df: df: N/2 * df -df; rf = fft(rt); rf = T/N * fftshift(rf); % END of T2F % ==== [t, rt] = lpf(f, rf, B); df = f(2) - f(1); T = 1/df; hf = zeros(1, length(f)); bf = [-floor(B / df): floor(B / df)] + floor(length(f) / 2); hf(bf) = 1; yf = hf.* rf; % ==== [t, st] = F2T(f, yf); df = f(2) - f(1); Fmx = (f(end) - f(1) + df); dt = 1 / Fmx; N = length(yf); T = dt * N; % ==== t = -T / 2: dt: T/2 -dt; t = 0: dt: T - dt; sff = ifftshift(yf); st = Fmx * ifft(sff); % ==== END of F2T rt = real(st); % ==== END of lpf subplot(312); plot(t, rt); hold on; plot(t, mt/2, 'r--'); title('相干解调后的信号波形与输入信号的比较'); xlabel('t'); subplot(313); %[t, sf] = T2F(t, s_am); dt = t(2) - t(1); T = t(end); df = 1/T; N = length(s_am); f = -N/2 * df:df: N/2 * df -df; sf = fft(s_am); sf = T/N * fftshift(sf); % END of T2F psf = (abs(sf).^2) / T; plot(f, psf); axis([-2 * fc 2 * fc 0 max(psf)]); title('AM 信号功率谱'); xlabel('f'); >>

武汉理工大学机械原理和机械设计考试大纲

武汉理工大学机械原理和机械设计考试大纲 来源:机电学院新闻中心审核发布:系统管理员发布时间:2012-10-30 17:32:01 点击:2729 硕士研究生入学考试业务课考试大纲 课目名称:机械原理和机械设计课目编号:839 一、考试的总体要求 《机械原理和机械设计》入学考试是为招收机械工程类硕士生而实施的选拔性考试;其指导思想是有利于选拔具有扎实的基础理论知识和具备一定实践技能的高素质人才。要求考生能够系统地掌握《机械原理和机械设计》的基本知识和具备运用所学知识分析与解决问题的能力。 二、考试内容 机械原理部分: 1. 平面机构的结构分析 1)平面机构自由度的计算 2)平面机构的组成原理及结构分析 2. 平面机构的运动分析 1)速度瞬心及其在平面机构速度分析中的应用 2)用矢量方程图解法作机构的速度和加速度分析 3. 平面机构的力分析 1)运动副中摩擦力的确定 4. 平面连杆机构及其设计 1)平面四杆机构的一些基本知识 2)平面四杆机构的设计 5. 凸轮机构及其设计 1)凸轮轮廓曲线设计 2)凸轮机构基本尺寸的确定 6. 齿轮机构及其设计

1)渐开线齿廓的啮合特性 2)渐开线直齿圆柱齿轮的啮合传动 3)渐开线变位齿轮概述 4)斜齿圆柱齿轮传动 5) 蜗杆传动 6) 圆锥齿轮传动 7. 齿轮系及其设计 8. 其它常用机构 机械设计部分: 1.机械设计基础 (1)机械设计中的强度问题 载荷和应力,机械零件的疲劳极限,极限应力图,影响机械零件疲劳强度的主要因素;(2)机械设计中的摩擦、磨损和润滑。 2.齿轮传动设计 (1)齿轮传动轮齿的失效形式和计算准则; (2)直齿及斜齿圆柱齿轮传动的受力分析及强度计算。 3.蜗杆传动设计 (1)蜗杆传动失效形式、材料选择与结构; (2)普通圆柱蜗杆传动的主要参数及几何尺寸; (3)普通圆柱蜗杆传动的受力分析; (4)蜗杆传动的效率、润滑及热平衡计算。 4.带传动设计 (1)带传动的类型、工作原理、特点和应用,失效形式和计算准则; (2)带传动的受力分析、应力分析和弹性滑动及打滑。

武汉理工大学通信原理课程设计

课程设计任务书 学生姓名:岳雯珏专业班级电信1102班 指导教师:吴魏工作单位:信息工程学院 题目:PSK通信系统的设计 初始条件: 具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、完成PSK移频数据传输电路的设计,实现基带信号的PSK传输 功能,收发波形一致。 2、完成系统中相关调制、传输以及解调模块电路的设计。 3、载波信号频率:256KHz、峰值:5V;基带信号为M序列,峰值 为1V的方波。 4、安装和调试整个电路,并测试出结果; 5、进行系统仿真,调试并完成符合要求的课程设计书。 时间安排: 二十二周一周,其中3天硬件设计,2天硬件调试 指导教师签名:年月日

目录 绪论 (1) 1.基本原理 (2) 1.1 2PSK信号的基本原理 (2) 1.2 2PSK信号的调制 (2) 1.3 2PSK信号的解调 (2) 1.4 M序列发生器组成与工作原理 (3) 2. 方案设计 (4) 2.1 调制电路部分 (4) 2.2 解调电路部分 (4) 3 单元电路设计 (5) 3.1 调制电路的设计 (5) 3.2 解调电路的设计 (7) 4.原理图设计与仿真 (9) 4.1 原理图设计 (9) 4.2 仿真结果 (9) 5. 实物的制作 (12) 5.1调制电路部分 (12) 5.2解调电路部分 (12) 5.3 元件清单 (13) 5.4 实物调试 (13) 6.心得体会 (15) 7.参考文献 (16)

武汉理工大学编译原理实验报告

武汉理工大学 学生实验报告书 实验课程名称编译原理课程实验 开课学院计算机科学与技术学院指导老师姓名饶文碧 学生姓名徐强国 学生专业班级软件 1602 2018 —2019 学年第1 学期

实验课程名称:编译原理

依次输入关键字,自定义标识符 BBBJKJL KJKJ4234,整数 3432,特 殊符号和其他符号:. {} []。 二、实验结果及分析(包括结果描述、实验现象分析、影响因素讨论、综合分析和结论等)输出为:

三、实验小结、建议及体会 通过这次的词法分析实验,我遇到了不少问题。对于输入字符串的直接处理,首先是分类情况的不完整(起初忽略了对关键字的判断),造成输出结果与预想有很大偏差。总结下:作为编译的第一步,词法分析将高级语言一步步分解,然后转化为自己的语言,这是一个严谨有十分有趣的过程。

核心代码: struct word //存储关键字,特殊符号 { int code; char T[max]; }; word important[5]; //结构体变量存储关键字 word num; //结构体变量存储数字 word identifier; //结构体变量存储标识符 word special_symbol[7]; //结构体变量存储特殊符号 int function(word* a, word b, word c, word* d, char* input, int k) { char getword[max]; int j = 0; if (input[j] == ' ') // 判断空格 { k = k + j + 1; function(a, b, c, d, input + j + 1, k); } else if (input[j] == '=' || input[j] == '+' || input[j] == ',' || input[j] == '(' || input[j] == ')') //判断特殊符号 { if (input[j] == '*') { getword[j] = input[j]; j = j + 1; if (input[j] == '*') { } else { } else { } getword[j] = input[j]; cout << '(' << d[3].code << ',' << d[3].T << ')' << endl; j = j - 1; cout << '(' << d[2].code << ',' << d[2].T << ')' << endl; getword[j] = input[j]; for (int i = 0; i < 7; i++) { if (i == 3) continue;

武汉理工大学通信原理课程设计2ASK频分复用systemview仿真结果

3.2ASK信号产生电路设计 图3.1:2ASK信号的产生电路 这里,基带信号频率为800hz,载波为5000hz,带通滤波器范围是4200~5800hz。 图3.2:基带信号 图3.3:载波信号 用乘法器将载波和基带信号相乘即可得调制过的2ASK信号 图3.4:2ASK信号波形

5.2ASK 非相干解调电路的设计 在原理处已经说明用非相干解调电路,其仿真电路图如下图5.1所示 图5.1:2ASK 非相干解调电路 这里选取的带通滤波器与相应的调制电路的范围相同。低通滤波器是800hz ,与基带信号频率相同,两个滤波器参数相同,是为了滤得更彻底。 图5.2:有噪声全波整流后波形 图5.3:有噪声位同步及采样保持后波形 图5.4:有噪声判决后波形 图5.5:无噪声全波整流后波形 图5.6:无噪声位同步及采样保持后波形 图5.7:无噪声判决后波形 上述六图分别是是在有噪声和无噪声的情况下选择的fc=1000hz 的一路信号的波形。 比较两次传输(有无噪声)得,有噪声时,基带信号为‘0’时,整形信号仍有微小波动,有可能影响到信号的传输和解调,无噪声时,微小波动几乎没有,几乎不会影响信号的传输,符合理论解释。

7.频分复用电路的设计 图7.1频分复用电路 这里共有六路信号,载波频率fc分别为1000hz,3000hz,5000hz,7000hz,9000hz,11000hz,相邻两个相差为2000hz,基带信号频率为800hz,相当于有一个(2000-800*2=400hz)宽的隔离带,可以满足信号之间不交叉重叠。每一路信号相对的带通滤波器的范围是 fc-800hz~fc+800hz,前后两个带通滤波器的范围相同。波形见图7.2(有噪声)和图7.3(无噪声)

编译原理实验报告

学生学号0120810680316 实验课成绩 武汉理工大学 学生实验报告书 实验课程名称《编译原理》 开课学院计算机科学与技术学院 指导老师姓名何九周 学生姓名刘洋 学生专业班级软件工程0803 2010 —2011 学年第二学期

实验课程名称:编译原理 实验项目名称单词的词法分析程序设计实验成绩实验者刘洋专业班级软件0803 组别 同组者实验日期 2011 年 5 月 17日 第一部分:实验分析与设计(可加页) 一、实验内容描述(问题域描述) 实验目的: 设计,编制并调试一个词法分析程序,加深对词法分析原理的理解。 实验要求: 在上机前应认真做好各种准备工作,熟悉机器的操作系统和语言的集成环境,独立完成算法编制和程序代码的编写;上机时应随带有关的高级语言教材或参考书;要学会程序调试与纠错;每次实验后要交实验报告。 实验题目: 对于给定的源程序(如C语言或Pascal等),要求从组成源程序的字符行中寻找出单词,并给出它们的种别和属性——输出二元组序列。以便提供给语法分析的时候使用。要求能识别所有的关键字,标志符等,并且能够对出先的一些词法规则的错误进行必要的处理。 二、实验基本原理与设计(包括实验方案设计,实验手段的确定,试验步骤等,用硬件逻辑或 者算法描述) 实验原理: 由于这是一个用高级语言编写一个词法分析器,使之能识别输入串,并把分析结果(单词符号,标识符,关键字等等)输出.输入源程序,输入单词符号,本词法分析器可以辨别关键字,标识符,常数,运算符号和某些界符,运用了文件读入来获取源程序代码,再对该源程序代码进行词法分析,这就是词法分析器的基本功能.当词法分析器调用预处理子程序处理出一串输入字符放进扫描缓冲区之后,分析器就从此缓冲区中逐一识别单词符号.当缓冲区里的字符串被处理完之后,它又调用预处理子程序来处理新串. 编写的时候,使用了文件的输入和输出,以便于词法分析的通用型,同时在文件输出时,并保存在输出文件output文件中。 从左到右扫描程序,通过初始化:1为关键字;2为标志符; 3为常数;4为运算符或界符。 三、主要仪器设备及耗材 计算机

武汉理工大学机电工程学院机械原理复试常见试题总结

1.何为运动链?运动链如何成为机构? 2.机构可动的条件是什么?机构具有确定运动的条件是什么? 3.何为瞬心?什么是绝对瞬心?什么是相对瞬心? 4.刚性转子的静平衡力学条件和动平衡力学条件各是什么? 5.为何机械正、反行程中的机械效率可能是不同的? 6.引起机械周期性速度波动的原因是什么?可用什么方法进行调节? 7.铰链四杆机构的基本类型有哪几种?可以如何演化? 8.铰链四杆机构有曲柄的条件是哪些? 9.什么叫极位夹角?急回特性与极位夹角有什么关系? 10.什么叫连杆机构的压力角、传动角?它们之间有什么关系?它们 与机械性能有什么关系? 11.什么叫连杆机构的死点?它在什么时候发生? 12.凸轮机构从动件推杆的运动规律有哪些?各有什么特点?各适用于什么场合? 13.简述凸轮轮廓曲线设计方法的基本原理。 14.齿轮产生根切的原因是什么?避免根切的方法有哪些? 15.何为变位齿轮?变位齿轮有什么作用? 16.与直齿轮传动相比,平行轴斜齿轮传动有哪些优缺点? 17.何为定轴轮系?何谓周转轮系? 18.定轴轮系轮系中,哪一种齿轮的齿数对传动比的大小不起作用?它有何用途? 19.差动轮系和行星轮系之区别何在? 20.双万向铰链要获得恒定的传动比,必须满足哪些条件? 参考答案 1、构件通过运动副联接而构成的相对可动的系统称为运动链;运动链成为机构时需将其一个构件加以固定,这个固定构件称为机架。 2、机构可动的条件是:机构的自由度的数目>0,机构具有确定运动的条件是:机构的原动机数目等于自由度的数目。 3、速度瞬心是两构件上瞬时绝对速度相等的重合点,简称为瞬心。如果瞬心的绝对速度为零,则称之为绝对瞬心;如果瞬心的绝对速度不为零,则称之为相对瞬心。 4、转子静平衡条件:分布在该转子平面内的各个偏心质量的质径积的矢量和为零,即 转子动平衡条件:各偏心质量所产生的离心惯性力的矢量和以及这些惯性力所构成的惯性力偶矩之矢量和都必须为零,即 5、因为机械正、反行程中的驱动力是不同的,摩擦力的方向也不同。所以其机械效率可能是不同的。 6、作用在机构上的等效驱动力矩和等效阻抗力矩往往是原动件转角的周期函数,当某转角等效驱动力矩大于等效阻抗力矩时,外力对机构有盈余功使构件的角速度由于动能的增加而上升;当某转角等效驱动力矩小于等效阻抗力矩时,外力对机构有亏缺功使构件的角速度由于动能的减少而下降。于是引起机械周期性速度波动,可通

编译原理是一门好课程

编译原理是一门好课程 2004年12月 从20世纪50年代早期第一个编译器出现到现在,半个世纪过去了。在这50来年里,计算机科学家们用自己的聪明才智不断地丰富编译的原理、技术、方法,不仅为编译本身的发展做出了贡献,而且还通过为计算机科学与技术学科提供良好的工具和思想方法而对计算机科学与技术学科的发展做出了巨大贡献。正是由于这一原因,相关内容一直是计算学科各个分支专业,尤其是计算机科学与技术专业的重要教学内容。从较早的ACM68教程到ACM/IEEE-CS近期推出的CC2001、CC2005对相应的内容都有明确的要求。在我国,从87教程到《中国计算机科学与技术学科教程2002》也都对该课程给予了高度的重视(注:2006年发布的“计算机科学与技术学科规范”也在普适性较强的计算机科学专业方向中对此提出明确要求)。在实际教学中,各校都将其作为本科生的主要课程,有条件的单位还在大专教育中开设了相应的课程。特别值得注意的是,十余年前,他一直是该专业的主干专业课,近些年来,随着学科的发展,应用不断扩大,这门课程在某种意义上已经变成了专业基础课。甚至一些学校还在研究生教育阶段对相关的内容进行补充和深化。 考虑到本学科“理工兼有”和“理论与实践紧密结合”的特征,各个学校对“编译”的教学要求不尽相同,根据这些不同的要求,“编译”课程有很多不同的版本,也有很多不同的叫法。最典型的有:编译原理、编译技术、编译方法。按照作者的理解,编译原理比较强调从原理上对“编译”中用到的技术和方法进行论述,探究这些技术和方法的来源及依据,分析和阐述“基本原理”。编译技术大体上在于讲述编译器实现中的一些关键技术,重点强调如何实现。编译方法则应该以完成“编译”所用到的方法的叙述为主线,通过对编译系统的设计与实现的讲述,介绍其中用到的一些基本方法,这些方法将在学科的研究与工程实现中常常用到。一般用“编译”来指称这些课程,需要的时候再明确地进行区分。 无论如何要求、如何定位,按照现代大学教育通过知识培养能力提高素质的基本要求,我们都是以知识为载体,通过“编译”中知识的传授,将其中的“思想”、“方法”传授给学生,甚至让学生和教师一起去体验和感受大师们在产生这些思想、发明这些方法时的“历程”,获得“顶峰体验”,以激发创新之激情,建立创新之意识,培养创新之能力。 但是,曾经有一段时间,人们对“编译”课程产生了一定的怀疑。理由和原因是多方面的,其中最为重要的理由之一是“我们的学生毕业以后几乎没有人会进行编译器的设计与实现”;另一个原因是“编译”比较难,又“没有用”,所以学生也不太愿意学习。实际上,这些都是“浮躁”情绪的表现。反映出我们的视线被眼前的计算机系统的“友好界面”挡住了,被当前处于起步阶段的应用要求限制住了,甚至是忘了“我们是搞计算机的”。 前面提到,“编译”在十余年前,一般都是被当作专业课开设的。就国内计算机教

相关主题