搜档网
当前位置:搜档网 › 常用芯片及其功能介绍

常用芯片及其功能介绍

常用芯片及其功能介绍
常用芯片及其功能介绍

74LS系列

74LS00 TTL 2输入端四与非门74LS01 TTL 集电极开路2输入端四与非门74LS02 TTL 2输入端四或非门74LS03 TTL 集电极开路2输入端四与非门74LS122 TTL 可再触发单稳态多谐振荡器74LS123 TTL 双可再触发单稳态多谐振荡器74LS125 TTL 三态输出高有效四总线缓冲门74LS126 TTL 三态输出低有效四总线缓冲门74LS13 TTL 4输入端双与非施密特触发器74LS132 TTL 2输入端四与非施密特触发器74LS133 TTL 13输入端与非门

74LS136 TTL 四异或门

74LS138 TTL 3-8线译码器/复工器74LS139 TTL 双2-4线译码器/复工器74LS14 TTL 六反相施密特触发器74LS145 TTL BCD—十进制译码/驱动器74LS15 TTL 开路输出3输入端三与门74LS150 TTL 16选1数据选择/多路开关74LS151 TTL 8选1数据选择器

74LS153 TTL 双4选1数据选择器74LS154 TTL 4线—16线译码器74LS155 TTL 图腾柱输出译码器/分配器74LS156 TTL 开路输出译码器/分配器74LS157 TTL 同相输出四2选1数据选择器74LS158 TTL 反相输出四2选1数据选择器74LS16 TTL 开路输出六反相缓冲/驱动器74LS160 TTL 可预置BCD异步清除计数器74LS161 TTL 可予制四位二进制异步清除计数器74LS162 TTL 可预置BCD同步清除计数器74LS163 TTL 可予制四位二进制同步清除计数器74LS164 TTL 八位串行入/并行输出移位寄存器74LS165 TTL 八位并行入/串行输出移位寄存器74LS166 TTL 八位并入/串出移位寄存器74LS169 TTL 二进制四位加/减同步计数器74LS17 TTL 开路输出六同相缓冲/驱动器74LS170 TTL 开路输出4×4寄存器堆

74LS173 TTL 三态输出四位D型寄存器74LS174 TTL 带公共时钟和复位六D触发器74LS175 TTL 带公共时钟和复位四D触发器74LS180 TTL 9位奇数/偶数发生器/校验器74LS181 TTL 算术逻辑单元/函数发生器74LS185 TTL 二进制—BCD代码转换器

74LS190 TTL BCD同步加/减计数器74LS191 TTL 二进制同步可逆计数74LS192 TTL 可预置BCD双时钟可逆计数器74LS193 TTL 可预置四位二进制双时钟可逆计数器74LS194 TTL 四位双向通用移位寄存器74LS195 TTL 四位并行通道移位寄存器74LS196 TTL 十进制/二-十进制可预置计数锁存器74LS197 TTL 二进制可预置锁存器/计数器74LS20 TTL 4输入端双与非门

74LS21 TTL 4输入端双与门

74LS22 TTL 开路输出4输入端双与非门74LS221 TTL 双/单稳态多谐振荡器

74LS240 TTL 八反相三态缓冲器/线驱动器74LS241 TTL 八同相三态缓冲器/线驱动器74LS243 TTL 四同相三态总线收发器74LS244 TTL 八同相三态缓冲器/线驱动器74LS245 TTL 八同相三态总线收发器74LS247 TTL BCD—7段15V输出译码/驱动器74LS248 TTL BCD—7段译码/升压输出驱动器74LS249 TTL BCD—7段译码/开路输出驱动器74LS251 TTL 三态输出8选1数据选择器/复工器74LS253 TTL 三态输出双4选1数据选择器/复工器74LS256 TTL 双四位可寻址锁存器

74LS257 TTL 三态原码四2选1数据选择器/复工器74LS258 TTL 三态反码四2选1数据选择器/复工器74LS259 TTL 八位可寻址锁存器/3-8线译码器74LS26 TTL 2输入端高压接口四与非门74LS260 TTL 5输入端双或非门

74LS266 TTL 2输入端四异或非门

74LS27 TTL 3输入端三或非门

74LS273 TTL 带公共时钟复位八D触发器74LS279 TTL 四图腾柱输出S-R锁存器74LS28 TTL 2输入端四或非门缓冲器74LS283 TTL 4位二进制全加器

74LS290 TTL 二/五分频十进制计数器74LS293 TTL 二/八分频四位二进制计数器74LS295 TTL 四位双向通用移位寄存器74LS298 TTL 四2输入多路带存贮开关74LS299 TTL 三态输出八位通用移位寄存器74LS30 TTL 8输入端与非门

74LS32 TTL 2输入端四或门

74LS322 TTL 带符号扩展端八位移位寄存器74LS323 TTL 三态输出八位双向移位/存贮寄存器74LS33 TTL 开路输出2输入端四或非缓冲器

74LS347 TTL BCD—7段译码器/驱动器74LS352 TTL 双4选1数据选择器/复工器74LS353 TTL 三态输出双4选1数据选择器/复工器74LS365 TTL 门使能输入三态输出六同相线驱动器74LS366 TTL 门使能输入三态输出六反相线驱动器

74LS367 TTL 4/2线使能输入三态六同相线驱动器74LS368 TTL 4/2线使能输入三态六反相线驱动器74LS37 TTL 开路输出2输入端四与非缓冲器

74LS373 TTL 三态同相八D锁存器

74LS374 TTL 三态反相八D锁存器

74LS375 TTL 4位双稳态锁存器

74LS377 TTL 单边输出公共使能八D锁存器

74LS378 TTL 单边输出公共使能六D锁存器74LS379 TTL 双边输出公共使能四D锁存器

74LS38 TTL 开路输出2输入端四与非缓冲器74LS380 TTL 多功能八进制寄存器

74LS39 TTL 开路输出2输入端四与非缓冲器74LS390 TTL 双十进制计数器

74LS393 TTL 双四位二进制计数器74LS40 TTL 4输入端双与非缓冲器

74LS42 TTL BCD—十进制代码转换器

74LS447 TTL BCD—7段译码器/驱动器

74LS45 TTL BCD—十进制代码转换/驱动器74LS450 TTL 16:1多路转接复用器多工器74LS451 TTL 双8:1多路转接复用器多工器74LS453 TTL 四4:1多路转接复用器多工器74LS46 TTL BCD—7段低有效译码/驱动器74LS460 TTL 十位比较器

74LS461 TTL 八进制计数器

74LS465 TTL 三态同相2与使能端八总线缓冲器74LS466 TTL 三态反相2与使能八总线缓冲器74LS467 TTL 三态同相2使能端八总线缓冲器74LS468 TTL 三态反相2使能端八总线缓冲器74LS469 TTL 八位双向计数器

74LS47 TTL BCD—7段高有效译码/驱动器

74LS48 TTL BCD—7段译码器/内部上拉输出驱动74LS490 TTL 双十进制计数器

74LS491 TTL 十位计数器

74LS498 TTL 八进制移位寄存器

74LS50 TTL 2-3/2-2输入端双与或非门74LS502 TTL 八位逐次逼近寄存器

74LS503 TTL 八位逐次逼近寄存器

74LS51 TTL 2-3/2-2输入端双与或非门74LS533 TTL 三态反相八D锁存器

74LS534 TTL 三态反相八D锁存器74LS54 TTL 四路输入与或非门

74LS540 TTL 八位三态反相输出总线缓冲器74LS55 TTL 4输入端二路输入与或非门74LS563 TTL 八位三态反相输出触发器74LS564 TTL 八位三态反相输出D触发器74LS573 TTL 八位三态输出触发器74LS574 TTL 八位三态输出D触发器

74LS645 TTL 三态输出八同相总线传送接收器74LS670 TTL 三态输出4×4寄存器堆74LS73 TTL 带清除负触发双J-K触发器74LS74 TTL 带置位复位正触发双D触发器74LS76 TTL 带预置清除双J-K触发器

74LS83 TTL 四位二进制快速进位全加器74LS85 TTL 四位数字比较器

74LS86 TTL 2输入端四异或门

74LS90 TTL 可二/五分频十进制计数器74LS93 TTL 可二/八分频二进制计数器74LS95 TTL 四位并行输入\\输出移位寄存器74LS97 TTL 6位同步二进制乘法器

74系列

7400 TTL 2输入端四与非门

7401 TTL 集电极开路2输入端四与非门

7402 TTL 2输入端四或非门

7403 TTL 集电极开路2输入端四与非门

7404 TTL 六反相器

7405 TTL 集电极开路六反相器

7406 TTL 集电极开路六反相高压驱动器

7408 TTL 2输入端四与门

7407 TTL 集电极开路六正相高压驱动器

7409 TTL 集电极开路2输入端四与门

7410 TTL 3输入端3与非门

74107 TTL 带清除主从双J-K触发器

74109 TTL 带预置清除正触发双J-K触发器7411 TTL 3输入端3与门

74112 TTL 带预置清除负触发双J-K触发器7412 TTL 开路输出3输入端三与非门

74121 TTL 单稳态多谐振荡器

74122 TTL 可再触发单稳态多谐振荡器

74123 TTL 双可再触发单稳态多谐振荡器

74125 TTL 三态输出高有效四总线缓冲门

74126 TTL 三态输出低有效四总线缓冲门

7413 TTL 4输入端双与非施密特触发器

74132 TTL 2输入端四与非施密特触发器

74133 TTL 13输入端与非门

74136 TTL 四异或门

74138 TTL 3-8线译码器/复工器

74139 TTL 双2-4线译码器/复工器

7414 TTL 六反相施密特触发器

74145 TTL BCD—十进制译码/驱动器

7415 TTL 开路输出3输入端三与门

74150 TTL 16选1数据选择/多路开关

74151 TTL 8选1数据选择器

74153 TTL 双4选1数据选择器

74154 TTL 4线—16线译码器

74155 TTL 图腾柱输出译码器/分配器

74156 TTL 开路输出译码器/分配器

74157 TTL 同相输出四2选1数据选择器74158 TTL 反相输出四2选1数据选择器

7416 TTL 开路输出六反相缓冲/驱动器

74160 TTL 可预置BCD异步清除计数器

74161 TTL 可予制四位二进制异步清除计数器74162 TTL 可预置BCD同步清除计数器

74163 TTL 可予制四位二进制同步清除计数器74164 TTL 八位串行入/并行输出移位寄存器74165 TTL 八位并行入/串行输出移位寄存器74166 TTL 八位并入/串出移位寄存器

74169 TTL 二进制四位加/减同步计数器

7417 TTL 开路输出六同相缓冲/驱动器

74170 TTL 开路输出4×4寄存器堆

74173 TTL 三态输出四位D型寄存器

74174 TTL 带公共时钟和复位六D触发器74175 TTL 带公共时钟和复位四D触发器74180 TTL 9位奇数/偶数发生器/校验器

74181 TTL 算术逻辑单元/函数发生器

74185 TTL 二进制—BCD代码转换器

74190 TTL BCD同步加/减计数器

74191 TTL 二进制同步可逆计数器

74192 TTL 可预置BCD双时钟可逆计数器74193 TTL 可预置四位二进制双时钟可逆计数器74194 TTL 四位双向通用移位寄存器

74195 TTL 四位并行通道移位寄存器

74196 TTL 十进制/二-十进制可预置计数锁存器74197 TTL 二进制可预置锁存器/计数器

7420 TTL 4输入端双与非门

7421 TTL 4输入端双与门

7422 TTL 开路输出4输入端双与非门

74221 TTL 双/单稳态多谐振荡器

74240 TTL 八反相三态缓冲器/线驱动器

74241 TTL 八同相三态缓冲器/线驱动器

74243 TTL 四同相三态总线收发器

74244 TTL 八同相三态缓冲器/线驱动器

74245 TTL 八同相三态总线收发器

74247 TTL BCD—7段15V输出译码/驱动器74248 TTL BCD—7段译码/升压输出驱动器74249 TTL BCD—7段译码/开路输出驱动器74251 TTL 三态输出8选1数据选择器/复工器74253 TTL 三态输出双4选1数据选择器/复工器74256 TTL 双四位可寻址锁存器74257 TTL 三态原码四2选1数据选择器/复工器74258 TTL 三态反码四2选1数据选择器/复工器74259 TTL 八位可寻址锁存器/3-8线译码器7426 TTL 2输入端高压接口四与非门

74260 TTL 5输入端双或非门

74266 TTL 2输入端四异或非门

7427 TTL 3输入端三或非门

74273 TTL 带公共时钟复位八D触发器

74279 TTL 四图腾柱输出S-R锁存器

7428 TTL 2输入端四或非门缓冲器

74283 TTL 4位二进制全加器

74290 TTL 二/五分频十进制计数器

74293 TTL 二/八分频四位二进制计数器

74295 TTL 四位双向通用移位寄存器

74298 TTL 四2输入多路带存贮开关

74299 TTL 三态输出八位通用移位寄存器

7430 TTL 8输入端与非门

7432 TTL 2输入端四或门

74322 TTL 带符号扩展端八位移位寄存器74323 TTL 三态输出八位双向移位/存贮寄存器7433 TTL 开路输出2输入端四或非缓冲器74347 TTL BCD—7段译码器/驱动器

74352 TTL 双4选1数据选择器/复工器

74353 TTL 三态输出双4选1数据选择器/复工器74365 TTL 门使能输入三态输出六同相线驱动器74366 TTL 门使能输入三态输出六反相线驱动器74367 TTL 4/2线使能输入三态六同相线驱动器74368 TTL 4/2线使能输入三态六反相线驱动器7437 TTL 开路输出2输入端四与非缓冲器74373 TTL 三态同相八D锁存器

74374 TTL 三态反相八D锁存器

74375 TTL 4位双稳态锁存器

74377 TTL 单边输出公共使能八D锁存器74378 TTL 单边输出公共使能六D锁存器74379 TTL 双边输出公共使能四D锁存器

7438 TTL 开路输出2输入端四与非缓冲器74380 TTL 多功能八进制寄存器

7439 TTL 开路输出2输入端四与非缓冲器74390 TTL 双十进制计数器

74393 TTL 双四位二进制计数器

7440 TTL 4输入端双与非缓冲器

7442 TTL BCD—十进制代码转换器

74352 TTL 双4选1数据选择器/复工器

74353 TTL 三态输出双4选1数据选择器/复工器74365 TTL 门使能输入三态输出六同相线驱动器74366 TTL 门使能输入三态输出六反相线驱动器

74367 TTL 4/2线使能输入三态六同相线驱动器74368 TTL 4/2线使能输入三态六反相线驱动器7437 TTL 开路输出2输入端四与非缓冲器74447 TTL BCD—7段译码器/驱动器

7445 TTL BCD—十进制代码转换/驱动器74450 TTL 16:1多路转接复用器多工器

74451 TTL 双8:1多路转接复用器多工器74453 TTL 四4:1多路转接复用器多工器7446 TTL BCD—7段低有效译码/驱动器74460 TTL 十位比较器

74461 TTL 八进制计数器

74465 TTL 三态同相2与使能端八总线缓冲器74466 TTL 三态反相2与使能八总线缓冲器74467 TTL 三态同相2使能端八总线缓冲器74468 TTL 三态反相2使能端八总线缓冲器74469 TTL 八位双向计数器

7447 TTL BCD—7段高有效译码/驱动器

7448 TTL BCD—7段译码器/内部上拉输出驱动74490 TTL 双十进制计数器

74491 TTL 十位计数器

74498 TTL 八进制移位寄存器

7450 TTL 2-3/2-2输入端双与或非门

74502 TTL 八位逐次逼近寄存器

74503 TTL 八位逐次逼近寄存器

7451 TTL 2-3/2-2输入端双与或非门

74533 TTL 三态反相八D锁存器

74534 TTL 三态反相八D锁存器

7454 TTL 四路输入与或非门

74540 TTL 八位三态反相输出总线缓冲器7455 TTL 4输入端二路输入与或非门

74563 TTL 八位三态反相输出触发器

74564 TTL 八位三态反相输出D触发器74573 TTL 八位三态输出触发器

74574 TTL 八位三态输出D触发器

74645 TTL 三态输出八同相总线传送接收器74670 TTL 三态输出4×4寄存器堆

7473 TTL 带清除负触发双J-K触发器

7474 TTL 带置位复位正触发双D触发器7476 TTL 带预置清除双J-K触发器

7483 TTL 四位二进制快速进位全加器

7485 TTL 四位数字比较器

7486 TTL 2输入端四异或门

7490 TTL 可二/五分频十进制计数器

7493 TTL 可二/八分频二进制计数器

7495 TTL 四位并行输入\输出移位寄存器7497 TTL 6位同步二进制乘法器****************************************** CD系列门电路

CD4000 双3输入端或非门+单非门TI

CD4001 四2输入端或非门HIT/NSC/TI/GOL CD4002 双4输入端或非门

CD4006 18位串入/串出移位寄存器NSC

CD4007 双互补对加反相器NSC

CD4008 4位超前进位全加器NSC

CD4009 六反相缓冲/变换器NSC

CD4010 六同相缓冲/变换器NSC

CD4011 四2输入端与非门HIT/TI

CD4012 双4输入端与非门NSC

CD4013 双主-从D型触发器FSC/NSC/TOS CD4014 8位串入/并入-串出移位寄存器NSC

CD4015 双4位串入/并出移位寄存器TI

CD4016 四传输门FSC/TI

CD4017 十进制计数/分配器FSC/TI/MOT

CD4018 可预制1/N计数器NSC/MOT

CD4019 四与或选择器PHI$k4X

CD4020 14级串行二进制计数/分频器

CD4021 08位串入/并入-串出移位寄存器PHI/NSC

CD4022 八进制计数/分配器NSC/MOT

CD4023 三3输入端与非门NSC/MOT/TI

CD4024 7级二进制串行计数/分频器

CD4025 三3输入端或非门NSC/MOT/TI

CD4026 十进制计数/7段译码器NSC/MOT/TI CD4027 双J-K触发器NSC/MOT/TI

CD4028 BCD码十进制译码器NSC/MOT/TI

CD4029 可预置可逆计数器NSC/MOT/TI

CD4030 四异或门NSC/MOT/TI/GOL

CD4031 64位串入/串出移位存储器NSC/MOT/TI CD4032 三串行加法器NSC/TI

CD4033 十进制计数/7段译码器NSC/TI

CD4034 8位通用总线寄存器NSC/MOT/TI

CD4035 4位并入/串入-并出/串出移位寄存NSC/MOT/TI CD4038 三串行加法器NSC/TI

CD4040 12级二进制串行计数/分频器SC/MOT/TI CD4041 四同相/反相缓冲器NSC/MOT/TI

CD4042 四锁存D型触发器NSC/MOT/TI

CD40434三态R-S锁存触发器("1"触发)NSC/MOT/TI CD4044 四三态R-S锁存触发器("0"触发) NSC/MOT/TI CD4046 锁相环NSC/MOT/TI/PHI

CD4047 无稳态/单稳态多谐振荡器NSC/MOT/TI CD4048 4输入端可扩展多功能门NSC/HIT/TI CD4049 六反相缓冲/变换器NSC/HIT/TI

CD4050 六同相缓冲/变换器NSC/MOT/TI

CD4051 八选一模拟开关NSC/MOT/TI O k

CD4052 双4选1模拟开关NSC/MOT/TI

CD4053 三组二路模拟开关NSC/MOT/TI

CD4054 液晶显示驱动器NSC/HIT/TI

CD4055 BCD-7段译码/液晶驱动器NSC/HIT/TI CD4056 液晶显示驱动器NSC/HIT/TI

CD4059 “N”分频计数器NSC/T

CD4060 14级二进制串行计数/分频器NSC/TI/MOT CD4063 四位数字比较器NSC/HIT/TI5Q

CD4066 四传输门NSC/TI/MOT

CD4067 16选1模拟开关NSC/TI

CD4068 八输入端与非门/与门

NSC/HIT/TI/A8d+E V h V l

CD4069 六反相器NSC/HIT/TI

CD4070 四异或门NSC/HIT/TI

CD4071 四2输入端或门NSC/TI

CD4072 双4输入端或门NSC/TI

CD4073 三3输入端与门NSC/TI

CD4075 三3输入端或门NSC/TIP

CD4076 四D寄存器

CD4077 四2输入端异或非门HIT

CD4078 8输入端或非门/或门

CD4081 四2输入端与门NSC/HIT/TI

CD4082 双4输入端与门NSC/HIT/TI

CD4085 双2路2输入端与或非门

CD4086 四2输入端可扩展与或非门

CD4089 二进制比例乘法器

CD4093 四2输入端施密特触发器NSC/MOT/ST CD4094 8位移位存储总线寄存器NSC/TI/PHI CD4095 3输入端J-K触发器

CD4096 3输入端J-K触发器

CD4097 双路八选一模拟开关

CD4098 双单稳态触发器NSC/MOT/TI

CD4099 8位可寻址锁存器NSC/MOT/ST

CD40100 32位左/右移位寄存器

CD40101 9位奇偶较验器

CD40102 8位可预置同步BCD减法计数器

CD40103 8位可预置同步二进制减法计数器

CD40104 4位双向移位寄存器

CD40105 先入先出FI-FD寄存器

CD40106 六施密特触发器NSC

CD40107 双2输入端与非缓冲/驱动器HAR\\TI CD40108 4字×4位多通道寄存器

CD40109 四低-高电平位移器

CD4052 六反向缓冲器(三态输出)

CD4503 六同相缓冲器(三态输出)CD4504 6TTL或CMOS同级移相器

CD4501 4输入端双与门及2输入端或非门

CD4502 可选通三态输出六反相/缓冲器

CD4503 六同相三态缓冲器

CD4504 六电压转换器

CD4506 双二组2输入可扩展或非门/双可扩展AIO门CD4507 四异或门

CD4508 双4位锁存D型触发器

CD4510 可预置BCD码加/减计数器

CD4511 BCD锁存,7段译码,驱动器

CD4512 八路数据选择器T/O

CD4513 BCD锁存,7段译码,驱动器(消隐)

CD4514 4位锁存,4线-16线译码器

CD4515 4位锁存,4线-16线译码器

CD4516 可预置4位二进制加/减计数器

CD4517 双64位静态移位寄存器

CD4518 双BCD同步加计数器

CD4519 四位与或选择器"L?N( 4位与/或选择器) CD4520 双4位二进制同步加计数器

CD4521 24级分频器

CD4522 可预置BCD同步1/N计数器

CD4526 可预置4位二进制同步1/N计数器

CD4527 BCD比例乘法器

CD4528 双单稳态触发器

CD4529 双四路/单八路模拟开关(双5输入多数逻辑门) CD4530 双5输入端优势逻辑门

CD4531 12位奇偶校验器

CD4532 8位优先编码器

CD4534 实时与译码计数器

CD4536 可编程定时器

CD4538 精密双单稳

CD4539 双四路数据选择器

CD4541 可编程定时器(可编程序振荡)

CD4543 BCD七段锁存译码,驱动器

CD4544 BCD七段锁存译码,驱动器

CD4547 BCD七段译码/大电流驱动器

CD4549 函数近似寄存器

CD4551 四2通道模拟开关

CD4553 3数字BCD计数器

CD4555 双二进制四选一译码器/分离器M R

CD4556 双二进制四选一译码器/分离器

CD4558 BCD八段译码器

CD4560 "N"BCD加法器

CD4561 "9"求补器

CD4568 相位比较器/可编程计数器

CD4569 双可预置BCD/二进制计数器

CD4572四反向器加二输入或非门加二输入与非门

CD4573 四可编程运算放大器

CD4574 四可编程电压比较器

CD4575 双可编程运放/比较器

CD4597 8位总线相容计数/锁存器

CD4598 8位总线相容可建地址锁存器

CD4583 双施密特触发器

CD4584 六施密特触发器

CD4585 4位数值比较器

CD4599 8位可寻址锁存器

CD4599 8位可寻址锁存器

****************************************** 触发器

CD4013 双D触发器

CD4027 双JK触发器

CD4042 四锁存D型触发器

CD4043 四三态R-S锁存触发器(“1”触发)

CD4044 四三态R-S锁存触发器(“0”触发)

CD4047 单稳态触发/无稳多谐振荡器

CD4093 四2输入端施密特触发器

CD4098 双单稳态触发器

CD4099 8位可寻址锁存器

CD4508 双4位锁存触发器

CD4528 双单稳态触发器(与CD4098管脚相同,只是3、13脚复位开关为高电平有效)

CD4538 精密单稳多谐振荡器

CD4583 双施密特触发器

CD4584 六施密特触发器

CD4599 8位可寻址锁存器

****************************************** 计数器

CD4017 十进制计数/分配器

CD4020 14位二进制串行计数器/分频器

CD4022 八进制计数/分配器

CD4024 7位二进制串行计数器/分频器

CD4029 可预置数可逆计数器(4位二进制或BCD码)CD4040 12二进制串行计数器/分频器

CD4045 12位计数/缓冲器

CD4059 四十进制N分频器

CD4060 14二进制串行计数器/分频器和振荡器CD4095 3输入端J-K触发器(相同J-K输入端)CD4096 3输入端J-K触发器(相反和相同J-K输入端)CD40110 十进制加/减,计数,锁存,译码驱动ST CD40147 10-4线编码器NSC\\MOT

CD40160 可预置数BCD加计数器(异步复位)CD40161 可预置数4位二进制加计数器(R非=0时,CP 上脉冲复位)(异步复位)

CD40162 可预置数BCD加计数器(同步复位)CD40163 可预置数4位二进制加计数器(R非=0时,CP 上脉冲复位)(同步复位)

CD40174 六锁存D型触发器NSC\\TI\\MOT

CD40175 四D型触发器NSC\\TI\\MOT

CD40181 4位算术逻辑单元/函数发生器

CD40182 超前位发生器

CD40192 可预置BCD加/减计数器(双时钟) NSC\\TI CD40193 可预置4位二进制加/减计数器NSC\\TI CD40194 4位并入/串入-并出/串出移位寄存NSC\\MOT CD40195 4位并入/串入-并出/串出移位寄存NSC\\MOT ****************************************** 译码器

CD4511 BCD锁存/7段译码器/驱动器

CD4514 4位锁存/4-16线译码器

CD4515 4位锁存/4-16线译码器(负逻辑输出)CD4026 十进制计数/7段译码器(适用于时钟计时电路,利用C端的功能可方便的实现60或12分频)

CD4028 BCD-十进制译码器

CD4033 十进制计数/7段译码器

CD4054 4位液晶显示驱动

CD4055 BCD-7段码/液晶驱动

CD4056 BCD-7段码/驱动

CD40102 8位可预置同步减法计时器(BCD)CD40103 8位可预置同步减法计时器(二进制)CD4513 BCD-锁存/7端译码/驱动器(无效“0”不显)

CD4514 4位锁存/4线—16线译码器(输出“1”)CD4515 4位锁存/4线—16线译码器(输出“0”)CD4543 BCD-锁存/7段译码/驱动器

CD4544 BCD-锁存/7段译码/驱动器——波动闭锁

CD4547 BCD-锁存/7段译码/大电流驱动器

CD4555 双二进制4选1译码器/分离器(输出“1”)

CD4556 双二进制4选1译码器/分离器(输出“0”)

CD4558 BCD-7段译码

CD4555 双二进制4选1译码器/分离器

CD4556 双二进制4选1译码器/分离器(负逻辑输出)

****************************************** 移位寄存器

CD4006 18位串入—串出移位寄存器

CD4014 8位串入/并入—串出移位寄存器

CD4015 双4位串入—并出移位寄存器

CD4021 8位串入/并入—串出移位寄存器

CD4031 64位移位寄存器

CD4034 8位通用总线寄存器

CD4035 4位串入/并入—串出/并出移位寄存器CD4076 4线D型寄存器

CD4094 8位移位/存储总线寄存器

CD40100 32位左移/右移

CD40105 先进先出寄存器

CD40108 4×4多端口寄存器阵列

CD40194 4位并入/串入—并出/串出移位寄存器(左移/右移)

CD40195 4位并入/串入—并出/串出移位寄存器

CD4517 64位移位寄存器

CD45490 连续的近似值寄存器

CD4562 128位静态移位寄存器

CD4580 4×4多端寄存器

****************************************** 模拟开关和数据选择器

CD4016 四联双向开关

CD4019 四与或选择器【Qn=(An*Ka)+(Bn*Kb)】CD4051 单八路模拟开关

CD4052 双4路模拟开关

CD4053 三2路模拟开关

CD4066 四双向模拟开关

CD4067 单十六路模拟开关

CD4097 双八路模拟开关

CD40257 四2选1数据选择器

CD4512 八路数据选择器

CD4529 双四路/单八路模拟开关

CD4539 双四路数据选择器

CD4551 四2通道模拟多路传输

****************************************** 运算电路

CD4008 4位超前进位全加器

CD4019 四与或选择器【Qn=(An*Ka)+(Bn*Kb)】CD4527 BCD比例乘法器

CD4032 三路串联加法器

CD4038 三路串联加法器(负逻辑)

CD4063 四位量级比较器

CD4070 四2输入异或门

CD4585 4位数值比较器

CD4089 4位二进制比例乘法器

CD40101 9位奇偶发生器/校验器CD4527 BCD比例乘法器

CD4531 12位奇偶数

CD4559 逐次近似值码器

CD4560 “N”BCD加法器

CD4561 “9”求补器

CD4581 4位算术逻辑单元

CD4582 超前进位发生器

CD4585 4位数值比较器

****************************************** 存储器

CD4049 4字×8位随机存取存储器

CD4505 64×1位RAM

CD4537 256×1静态随机存取存储器

CD4552 256位RAM

****************************************** 特殊电路

CD4046 锁相环集成电路

CD4532 8位优先编码器

CD4500 工业控制单元

CD4566 工业时基发生器

CD4573 可预置运算放大器

CD4574 比较器、线性、双对双运放

CD4575 双/双预置运放/比较器

CD4597 8位总线相容计数/锁存器

CD4598 8位总线相容可建地址锁存器

集成电路IC设计完整流程详解及各个阶段工具简介

IC设计完整流程及工具 IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。 前端设计的主要流程: 1、规格制定 芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。 2、详细设计 Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。 3、HDL编码 使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。 4、仿真验证 仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor公司的Modelsim,Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。 5、逻辑综合――Design Compiler 仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基

系列芯片功能表汇总

系列芯片功能表汇总

————————————————————————————————作者:————————————————————————————————日期: ?

74系列标准数字电路功能表——中文资料 名称类别功能 7400TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL2输入端四或非门 7403TTL集电极开路2输入端四与非门 7404TTL六反相器 7405TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407TTL 集电极开路六正相高压驱动器 7408 TTL2输入端四与门 7409TTL集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL带预置清除正触发双J-K触发器 7411TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器 7412 TTL 开路输出3输入端三与非门 74121TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125TTL三态输出高有效四总线缓冲门 74126 TTL三态输出低有效四总线缓冲门 7413 TTL4输入端双与非施密特触发器 74132 TTL 2输入端四与非施密特触发器 74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关 74151 TTL 8选1数据选择器 74153TTL双4选1数据选择器 74154TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器 74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器 74158TTL 反相输出四2选1数据选择器 7416 TTL 开路输出六反相缓冲/驱动器

常用电源芯片大全

常用电源芯片大全 第1章DC-DC电源转换器/基准电压源1.1 DC-DC电源转换器 1.低噪声电荷泵DC-DC电源转换器AAT3113/AAT3114 2.低功耗开关型DC-DC电源转换器ADP3000 3.高效3A开关稳压器AP1501 4.高效率无电感DC-DC电源转换器FAN5660 5.小功率极性反转电源转换器ICL7660 6.高效率DC-DC电源转换控制器IRU3037 7.高性能降压式DC-DC电源转换器ISL6420 8.单片降压式开关稳压器L4960 9.大功率开关稳压器L4970A 10.1.5A降压式开关稳压器L4971 11.2A高效率单片开关稳压器L4978 12.1A高效率升压/降压式DC-DC电源转换器L5970 13.1.5A降压式DC-DC电源转换器LM1572 14.高效率1A降压单片开关稳压器LM1575/LM2575/LM2575HV 15.3A降压单片开关稳压器LM2576/LM2576HV 16.可调升压开关稳压器LM2577 17.3A降压开关稳压器LM2596

18.高效率5A开关稳压器LM2678 19.升压式DC-DC电源转换器LM2703/LM2704 20.电流模式升压式电源转换器LM2733 21.低噪声升压式电源转换器LM2750 22.小型75V降压式稳压器LM5007 23.低功耗升/降压式DC-DC电源转换器LT1073 24.升压式DC-DC电源转换器LT1615 25.隔离式开关稳压器LT1725 26.低功耗升压电荷泵LT1751 27.大电流高频降压式DC-DC电源转换器LT1765 28.大电流升压转换器LT1935 29.高效升压式电荷泵LT1937 30.高压输入降压式电源转换器LT1956 31.1.5A升压式电源转换器LT1961 32.高压升/降压式电源转换器LT3433 33.单片3A升压式DC-DC电源转换器LT3436 34.通用升压式DC-DC电源转换器LT3460 35.高效率低功耗升压式电源转换器LT3464 36.1.1A升压式DC-DC电源转换器LT3467 37.大电流高效率升压式DC-DC电源转换器LT3782 38.微型低功耗电源转换器LTC1754 39.1.5A单片同步降压式稳压器LTC1875

常用开关电源芯片大全复习课程

常用开关电源芯片大 全

常用开关电源芯片大全 第1章DC-DC电源转换器/基准电压源 1.1 DC-DC电源转换器 1.低噪声电荷泵DC-DC电源转换器AAT3113/AAT3114 2.低功耗开关型DC-DC电源转换器ADP3000 3.高效3A开关稳压器AP1501 4.高效率无电感DC-DC电源转换器FAN5660 5.小功率极性反转电源转换器ICL7660 6.高效率DC-DC电源转换控制器IRU3037 7.高性能降压式DC-DC电源转换器ISL6420 8.单片降压式开关稳压器L4960 9.大功率开关稳压器L4970A 10.1.5A降压式开关稳压器L4971 11.2A高效率单片开关稳压器L4978 12.1A高效率升压/降压式DC-DC电源转换器L5970 13.1.5A降压式DC-DC电源转换器LM1572 14.高效率1A降压单片开关稳压器LM1575/LM2575/LM2575HV 15.3A降压单片开关稳压器LM2576/LM2576HV 16.可调升压开关稳压器LM2577 17.3A降压开关稳压器LM2596 18.高效率5A开关稳压器LM2678 19.升压式DC-DC电源转换器LM2703/LM2704 20.电流模式升压式电源转换器LM2733 21.低噪声升压式电源转换器LM2750 22.小型75V降压式稳压器LM5007 23.低功耗升/降压式DC-DC电源转换器LT1073 24.升压式DC-DC电源转换器LT1615 25.隔离式开关稳压器LT1725 26.低功耗升压电荷泵LT1751

27.大电流高频降压式DC-DC电源转换器LT1765 28.大电流升压转换器LT1935 29.高效升压式电荷泵LT1937 30.高压输入降压式电源转换器LT1956 31.1.5A升压式电源转换器LT1961 32.高压升/降压式电源转换器LT3433 33.单片3A升压式DC-DC电源转换器LT3436 34.通用升压式DC-DC电源转换器LT3460 35.高效率低功耗升压式电源转换器LT3464 36.1.1A升压式DC-DC电源转换器LT3467 37.大电流高效率升压式DC-DC电源转换器LT3782 38.微型低功耗电源转换器LTC1754 39.1.5A单片同步降压式稳压器LTC1875 40.低噪声高效率降压式电荷泵LTC1911 41.低噪声电荷泵LTC3200/LTC3200-5 42.无电感的降压式DC-DC电源转换器LTC3251 43.双输出/低噪声/降压式电荷泵LTC3252 44.同步整流/升压式DC-DC电源转换器LTC3401 45.低功耗同步整流升压式DC-DC电源转换器LTC3402 46.同步整流降压式DC-DC电源转换器LTC3405 47.双路同步降压式DC-DC电源转换器LTC3407 48.高效率同步降压式DC-DC电源转换器LTC3416 49.微型2A升压式DC-DC电源转换器LTC3426 50.2A两相电流升压式DC-DC电源转换器LTC3428 51.单电感升/降压式DC-DC电源转换器LTC3440 52.大电流升/降压式DC-DC电源转换器LTC3442 53.1.4A同步升压式DC-DC电源转换器LTC3458 54.直流同步降压式DC-DC电源转换器LTC3703 55.双输出降压式同步DC-DC电源转换控制器LTC3736 56.降压式同步DC-DC电源转换控制器LTC3770

常用集成电路的型号及功能说明

型号功能 ACP2371NI 多制式数字音频信号处理电路ACVP2205 梳状滤波、视频信号处理电路 AN5071 波段转换控制电路 AN5195K 子图像信号处理电路 AN5265 伴音功率放大电路 AN5274 伴音功率放大电路 AN5285K 伴音前置放大电路 AN5342K 图像水平轮廓校正、扫描速度调制电路AN5348K AI信号处理电路 AN5521 场扫描输出电路 AN5551 枕形失真校正电路 AN5560 50/60Hz场频自动识别电路 AN5612 色差、基色信号变换电路 AN5836 双声道前置放大及控制电路 AN5858K TV/AV切换电路 AN5862K(AN5862S) 视频模拟开关 AN5891K 音频信号处理电路 AT24C02 2线电可擦、可编程只读存储器 AT24C04 2线电可擦、可编程只读存储器 AT24C08 2线电可擦、可编程只读存储器 ATQ203 扬声器切换继电器电路 BA3880S 高分辨率音频信号处理电路 BA3884S 高分辨率音频信号处理电路 BA4558N 双运算放大器 BA7604N 梳状切换开关电路 BU9252S 8bitA/D转换电路 CAT24C16 2线电可擦、可编程只读存储器 CCU-FDTV 微处理器 CCU-FDTV-06 微处理器 CD54573A/CD54573CS 波段转换控制电路 CH0403-5H61 微处理器 CH04801-5F43 微处理器 CH05001(PCA84C841) 微处理器 CH05002 微处理器 CH7001C 数字NTSC/PAL编码电路 CHT0406 微处理器 CHT0803(TMP87CP38N*) 8bit微处理器 CHT0807(TMP87CP38N) 8bit微处理器 CHT0808(TMP87CP38N) 8bit微处理器 CHT0818 微处理器 CKP1003C 微处理器 CKP1004S(TMP87CK38N) 微处理器 CKP1006S(TMP87CH38N) 微处理器

常用数字芯片型号解读

常用数字芯片型号解读 逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、RS422、RS485等。 图1-1:常用逻辑系列器件 TTL:Transistor-Transistor Logic CMOS:Complementary Metal Oxide Semicondutor LVTTL:Low Voltage TTL LVCMOS:Low Voltage CMOS ECL:Emitter Coupled Logic, PECL:Pseudo/Positive Emitter Coupled Logic LVDS:Low Voltage Differential Signaling GTL:Gunning Transceiver Logic BTL:Backplane Transceiver Logic ETL:enhanced transceiver logic GTLP:Gunning Transceiver Logic Plus TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等 S - Schottky Logic LS - Low-Power Schottky Logic CD4000 - CMOS Logic 4000 AS - Advanced Schottky Logic 74F - Fast Logic ALS - Advanced Low-Power Schottky Logic HC/HCT - High-Speed CMOS Logic BCT - BiCMOS Technology AC/ACT - Advanced CMOS Logic FCT - Fast CMOS Technology ABT - Advanced BiCMOS Technology LVT - Low-Voltage BiCMOS Technology LVC - Low Voltage CMOS Technology LV - Low-Voltage CBT - Crossbar Technology ALVC - Advanced Low-Voltage CMOS Technology AHC/AHCT - Advanced High-Speed CMOS CBTLV - Low-Voltage Crossbar Technology ALVT - Advanced Low-Voltage BiCMOS Technology AVC - Advanced Very-Low-Voltage CMOS Logic TTL器件和CMOS器件的逻辑电平 :逻辑电平的一些概念 要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil时,则认为输入电平为低电平。 3:输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的

在各个领域中常用芯片汇总(2)(精)

在各个领域中常用芯片汇总 1. 音频pcm编码DA转换芯片cirrus logic的cs4344,cs4334,4334是老封装,据说已经停产,4344封装比较小,非常好用。还有菲利谱的8211等。 2. 音频放大芯片4558,833,此二芯片都是双运放。为什么不用324等运放个人觉得应该是对音频的频率响应比较好。 3. 74HC244和245,由于244是单向a=b的所以只是单向驱动。而245是用于数据总线等双向驱动选择。同时245的封装走线非常适合数据总线,它按照顺序d7-d0。 4. 373和374,地址锁存器,一个电平触发,一个沿触发。373用在单片机p0地址锁存,当然是扩展外部ram的时候用到62256。374有时候也用在锁数码管内容显示。 5. max232和max202,有些为了节约成本就用max202,主要是驱动能力的限制。 6. 网络接口变压器。需要注意差分信号的等长和尽量短的规则。 7. amd29系列的flash,有bottom型和top型,主要区别是loader区域设置在哪里?bottom型的在开始地址空间,top型号的在末尾地址空间,我感觉有点反,但实际就是这么命名的。 8. 164,它是一个串并转换芯片,可以把串行信号变为并行信号,控制数码管显示可以用到。 9. sdram,ddrram,在设计时候通常会在数据地址总线上加22,33的电阻,据说是为了阻抗匹配,对于这点我理论基础学到过,但实际上没什么深刻理解。 10. 网卡控制芯片ax88796,rtl8019as,dm9000ae当然这些都是用在isa总线上的。 11. 24位AD:CS5532,LPC2413效果还可以 12. 仪表运放:ITL114,不过据说功耗有点大 13. 音频功放:一般用LM368 14. 音量控制IC. PT2257/9. 15. PCM双向解/编码ADC/DAC CW6691.

常用芯片型号大全

常用芯片型号大全 4N35/4N36/4N37 "光电耦合器" AD7520/AD7521/AD7530/AD7521 "D/A转换器" AD7541 12位D/A转换器 ADC0802/ADC0803/ADC0804 "8位A/D转换器" ADC0808/ADC0809 "8位A/D转换器" ADC0831/ADC0832/ADC0834/ADC0838 "8位A/D转换器" CA3080/CA3080A OTA跨导运算放大器 CA3140/CA3140A "BiMOS运算放大器" DAC0830/DAC0832 "8位D/A转换器" ICL7106,ICL7107 "3位半A/D转换器" ICL7116,ICL7117 "3位半A/D转换器" ICL7650 "载波稳零运算放大器" ICL7660/MAX1044 "CMOS电源电压变换器" ICL8038 "单片函数发生器" ICM7216 "10MHz通用计数器" ICM7226 "带BCD输出10MHz通用计数器" ICM7555/7555 CMOS单/双通用定时器 ISO2-CMOS MT8880C DTMF收发器 LF351 "JFET输入运算放大器" LF353 "JFET输入宽带高速双运算放大器" LM117/LM317A/LM317 "三端可调电源" LM124/LM124/LM324 "低功耗四运算放大器" LM137/LM337 "三端可调负电压调整器" LM139/LM239/LM339 "低功耗四电压比较器"

LM158/LM258/LM358 "低功耗双运算放大器" LM193/LM293/LM393 "低功耗双电压比较器" LM201/LM301 通用运算放大器 LM231/LM331 "精密电压—频率转换器" LM285/LM385 微功耗基准电压二极管 LM308A "精密运算放大器" LM386 "低压音频小功率放大器" LM399 "带温度稳定器精密电压基准电路" LM431 "可调电压基准电路" LM567/LM567C "锁相环音频译码器" LM741 "运算放大器" LM831 "双低噪声音频功率放大器" LM833 "双低噪声音频放大器" LM8365 "双定时LED电子钟电路" MAX038 0.1Hz-20MHz单片函数发生器 MAX232 "5V电源多通道RS232驱动器/接收器" MC1403 "2.5V精密电压基准电路" MC1404 5.0v/6.25v/10v基准电压 MC1413/MC1416 "七路达林顿驱动器" MC145026/MC145027/MC145028 "编码器/译码器" MC145403-5/8 "RS232驱动器/接收器" MC145406 "RS232驱动器/接收器"

74系列和CMOS芯片功能大全

74系列芯片功能大全7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL 带预置清除正触发双J-K触发器7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器7412 TTL 开路输出3输入端三与非门 74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125 TTL 三态输出高有效四总线缓冲门 74126 TTL 三态输出低有效四总线缓冲门

7413 TTL 4输入端双与非施密特触发器74132 TTL 2输入端四与非施密特触发器74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器74158 TTL 反相输出四2选1数据选择器7416 TTL 开路输出六反相缓冲/驱动器74160 TTL 可预置BCD异步清除计数器74161 TTL 可予制四位二进制异步清除计数器74162 TTL 可预置BCD同步清除计数器74163 TTL 可予制四位二进制同步清除计数器

常用集成电路功能

鹏运发科技有限公司收音机用集成电路 序号产品型号功能与用途封装形式境外同类产品 1 YD1000 DTS用AM/FM单片立体声收音机电路 TSSOP24 DTS是数字化影院系统 2 YD1191 AM/FM单片收音机电路 SOP28 CXA1191 3 YD1600 AM单片收音机电路 SIP9 LA1600 4 YD1619 AM/FM单片收音机电路 SOP28/SDIP30 CXA1619 5 YD1800 AM/FM单片收音机电路 SDIP22 LA1800 6 YD2003 AM/FM单片收音机电路 DIP16 TA2003 7 YD2111 AM/FM单片立体收音机电路 SDIP24/SSOP24 TA2111 8 YD2149 DTS用AM/FM单片立体声收音机电路 SDIP24/SSOP24 TA2149 9 YD7088 FM自动搜索单片收音机电路 SOP16 TDA7088T 10 YD72130 AM/FM频率锁相环 SDIP24 LC72130 11 YD72131 AM/FM频率锁相环 SDIP22 LC72131 12 YD7343 FM立体声解调电路 SIP9 TA7343 13 YD7640 AM/FM单片收音机电路 DIP16 TA7640 音频功率放大集成电路 序号产品型号功能与用途封装形式境外同类产品 1 YD1001 720mW单声道音频功放电路 DIP8 2 YD1006 18W单声道音频功放电路 TO-220B 3 YD1008 22W单声道音频功放电路 TO-220B 4 YD1026 具有待机、静音功能的25W双声道音频功放电路 FZIP12 5 YD131 6 2W双声道音频功放电路 FDIP14 μPC1316C 6 YD1519 具有待机、静音功能的6W双声道音频功放电路 FSIP9 TDA1519 7 TDA2003 10W单声道音频功放电路 TO-220B TDA2003 8 YD2025 2.3W单声道音频功放电路 DIP16 TEA2025B 9 YD2025A 2.4W单声道音频功放电路 DIP16 TEA2025B 10 YD2025H 2.4W单声道音频功放电路 HDIP12 11 YD2030 18W单声道音频功放电路 TO-220B TDA2030 12 YD2030A 20W单声道音频功放电路 TO-220B TDA2030A

常见芯片分类

开机芯片:东芝TM87XX、IBM:TB6805F、TB6806F、TB6808F、TB62501F、TMP48U I/O芯片:PC97338、PC87391、PC87392、pc87393、 SMSC系列:FDC7N869、FDC37N958、LPC47N227、LPC47N267 系统供电芯片:MAX1632、MAX1631、MAX1904、MAX1634、MAX785、MAX786、SB3052、SC1402、LTC1628 CPU供电芯片:MAX1711、MAX1714、MAX1717、MAX1718、MAX1897 供电芯片搭配使用:ADP3203/ADP3415、ADP3410/ADP3421、ADP3410/ADP3422 充电芯片:MAX1645、MAX745、MAX1772、MAX1773、ADP3806、TC490/591、MB3887、MB3878、MAX1908 ,LT1505G CPU温度控制芯片:MAX1617、MAX1020A、AD1030A、CM8500 MAX1989 显卡品牌:ATI、NVIDIA、S3、NEOMAGIC、TRIDENT、SMI、INTEL、FW82807和CH7001A 搭配使用网卡芯片:RTL8100、RTL8139、Intel DA82562、RC82540、3COM、BCM440 网卡隔离:LF8423、LF-H80P、H-0023、H0024、H0019、ATPL-119 声卡芯片:ESS1921、ESS1980S、STAC9704、AU8810、4299-JQ、TPA0202、4297-JQ、8552TS、8542TS、CS4239-KQ、BA7786、AD1981B、AN12942 PC卡芯片:R5C551、R5C552、R5C476、R54472 PC卡供电芯片:TPS2205、TPS2206、TPS2216、TPS2211、PU2211、M2562A、M2563A、M2564A COM口芯片:MAX3243、MAX213、ADM213、HIN213、SP3243、MC145583 键盘芯片:H8C/2471、H8/3434、H8/3431、PC87570、PC87591 键盘芯片:具有开机功能:H8/3434、H8/3437、H8/2147、H8/2149、H8/2161、H8/2168、PC87570、PC87591、H8S/XXX M38857、M38867、M38869 笔记本IO芯片大全PC87591S(VPCQ01)/PC 87591L(VPC01)/PC 97317IBW/PC 87393 VGJ 笔记本IO芯片大全TB 62501F/TB62506F/TB6808F/KB910QF/KB910QB4/KB910LQF/KB910LQFA1 笔记本IO芯片大全KB3910QB0/KB910SFC1/KB3910SF/PC87591E-VLB/IT8510E/PS5130 笔记本IO芯片大全PC87591E (-VPCI01),(VPCQ01)/PC 97551-VPC/PC 87570-ICC/VPC 笔记本IO芯片大全PC87391VGJ/TB6807F/W83L950D/LPC47N249-AQQ/PCI4510/PC8394T 笔记本IO芯片大全

各种集成电路介绍

第一节三端稳压IC 电子产品中常见到的三端稳压集成电路有正电压输出的78××系列和负电压输出的79××系列。故名思义,三端IC是指这种稳压用的集成电路只有三条引脚输出,分别是输入端、接地端和输出端。它的样子象是普通的三极管,TO-220的标准封装,也有9013样子的TO-92封装。 用78/79系列三端稳压IC来组成稳压电源所需的外围元件极少,电路内部还有过流、过热及调整管的保护电路,使用起来可靠、方便,而且价格便宜。该系列集成稳压IC型号中的78或79后面的数字代表该三端集成稳压电路的输出电压,如7806表示输出电压为正6V,7909表示输出电压为负9V。 78/79系列三端稳压IC有很多电子厂家生产,80年代就有了,通常前缀为生产厂家的代号,如TA7805是东芝的产品,AN7909是松下的产品。(点击这里,查看有关看前缀识别集成电路的知识) 有时在数字78或79后面还有一个M或L,如78M12或79L24,用来区别输出电流和封装形式等,其中78L调系列的最大输出电流为100mA,78M系列最大输出电流为1A,78系列最大输出电流为1.5A。它的封装也有多种,详见图。塑料封装的稳压电路具有安装容易、价格低廉等优点,因此用得比较多。79系列除了输出电压为负。引出脚排列不同以外,命名方法、外形等均与78系列的相同。 因为三端固定集成稳压电路的使用方便,电子制作中经常采用,可以用来改装分立元件的稳压电源,也经常用作电子设备的工作电源。电路图如图所示。 注意三端集成稳压电路的输入、输出和接地端绝不能接错,不然容易烧坏。一般三端集成稳压电路的最小输入、输出电压差约为2V,否则不能输出稳定的电压,一般应使电压差保持在4-5V,即经变压器变压,二极管整流,电容器滤波后的电压应比稳压值高一些。 在实际应用中,应在三端集成稳压电路上安装足够大的散热器(当然小功率的条件下不用)。当稳压管温度过高时,稳压性能将变差,甚至损坏。 当制作中需要一个能输出1.5A以上电流的稳压电源,通常采用几块三端稳压电路并联起来,使其最大输出电流为N个1.5A,但应用时需注意:并联使用的集成稳压电路应采用同一厂家、同一批号的产品,以保证参数的一致。另外在输出电流上留有一定的余量,以避免个别集成稳压电路失效时导致其他电路的连锁烧毁。 第二节语音集成电路 电子制作中经常用到音乐集成电路和语言集成电路,一般称为语言片和音乐片。它们一般都是软包封,即芯片直接用黑胶封装在一小块电路板上。语音IC一般还需要少量外围元件才能工作,它们可直接焊到这块电路板上。

芯片常用封装及尺寸说明

A、常用芯片封装介绍 来源:互联网作者: 关键字:芯片封装 1、BGA 封装(ball grid array) 球形触点陈列,表面贴装型封装之一。在印刷基板的背面按陈列方式制作出球形凸点用以代替引脚,在印刷基板的正面装配 LSI 芯片,然后用模压树脂或灌封方法进行密封。也称为凸点陈列载体(PAC)。引脚可超过200,是多引脚 LSI 用的一种封装。封装本体也可做得比 QFP(四侧引脚扁平封装)小。例如,引脚中心距为 1.5mm 的360 引脚 BGA 仅为31mm 见方;而引脚中心距为0.5mm 的304 引脚 QFP 为 40mm 见方。而且 BGA 不用担心 QFP 那样的引脚变形问题。该封装是美国 Motorola 公司开发的,首先在便携式电话等设备中被采用,今后在美国有可能在个人计算机中普及。最初,BGA 的引脚(凸点)中心距为 1.5mm,引脚数为225。现在也有一些 LSI 厂家正在开发500 引脚的 BGA。 BGA 的问题是回流焊后的外观检查。 现在尚不清楚是否有效的外观检查方法。有的认为,由于焊接的中心距较大,连接可以看作是稳定的,只能通过功能检查来处理。美国 Motorola 公司把用模压树脂密封的封装称为 OMPAC,而把灌封方法密封的封装称为 GPAC(见 OMPAC 和 GPAC)。 2、BQFP 封装(quad flat package with bumper) 带缓冲垫的四侧引脚扁平封装。QFP 封装之一,在封装本体的四个角设置突起(缓冲垫) 以防止在运送过程中引脚发生弯曲变形。美国半导体厂家主要在微处理器和 ASIC 等电路中采用此封装。引脚中心距0.635mm,引脚数从84 到196 左右(见 QFP)。

常用集成电路及主要参数

1 附录四、常用集成电路及主要参数 4.1 常用集成电路的引线端子识别及使用注意事项 4.1.1 集成电路引出端的识别 使用集成电路前,必须认真查对和识别集成电路的引线端,确认电源、地、输入、输出及控制端的引线号,以免因错接损坏元器件。 贴片封装(A、B)型,如附图4.1-1所示,识别时,将文字符 号正放,定位销向左,然后,从左下角起,按逆时针方向依次 为1、2、3……。 扁形和双列直插型集成电路:如附图 4.1-2(b)所示,识别 时,将文字符号标记正放,由顶部俯视,其面上有一个缺口或 小圆点,附图4.1-1贴片型,有时两者都有,这是“1”号引线 端的标记,如将该标记置于左边,然后,从左下角起,按逆时 针方向依次为1、2、3……。 一般圆型和集成电路:如附图4.1-2(a)所示,识别时,面向引出端,从定位销顺时针依次为1、2、3……。圆形多用于模拟集成电路。 (a) 园形外型(b)扁平双列直插型 附图4.1-2 集成电路外引线的识别 4.1.2 数字集成电路的使用 数字集成电路按内部组成的元器件的不同又分为:TTL电路和CMOS电路。不论哪一种集成电路,使用时,首先应查阅手册,识别集成电路的外引线端排列图,然后按照功能表使用芯片,尤其是牛规模的集成电路,应注意使能端的使用,时序电路还应注意“同步”和“异步”功能等。 使用集成路时应注意以下方面的问题。 1、TTL电路 (1)电源 ①只允许工作在5V±10%的范围内。若电源电压超过5.5V或低于4.5V,将使器件损坏或导致器件工作的逻辑功能不正常。 ②为防止动态尖峰电流造成的干扰,常在电源和地之间接人滤波电容。消除高频干扰的滤波电容取0.01~0.1PF,消除低频干扰取10—50/uF ③不要将“电源”和“地”颠倒,例如将741S00插反,缺口或小圆点置于右面,则电源的引线端与“地”引线端恰好颠倒,若不注意,这种情况极易发生,将造成元器件的损坏。 ④TTL电路的工作电流较大,例如中规模集成TTL电路需要几十毫安的工作电流,因此使用干电池长期工作,既不经济,也不可靠。 (2)输出端 ①不允许直接接地或接电源,否则将使器件损坏。 ②图腾柱输出的TTL门电路的输出端不能“线与”使用,OC门的输出端可以

TI 常用运放芯片型号

CA3130?高输入阻抗运算放大器?Intersil[DA TA] CA3140?高输入阻抗运算放大器 CD4573?四可编程运算放大器?MC14573 ICL7650?斩波稳零放大器 LF347(NS[DA TA])?带宽四运算放大器?KA347 LF351?BI-FET单运算放大器?NS[DA TA] LF353?BI-FET双运算放大器?NS[DA TA] LF356?BI-FET单运算放大器?NS[DA TA] LF357?BI-FET单运算放大器?NS[DA TA] LF398?采样保持放大器?NS[DA TA] LF411?BI-FET单运算放大器?NS[DA TA] LF412?BI-FET双运放大器?NS[DATA] LM124?低功耗四运算放大器(军用档)?NS[DA TA]/TI[DATA] LM1458?双运算放大器?NS[DA TA] LM148?四运算放大器?NS[DA TA] LM224J?低功耗四运算放大器(工业档)?NS[DA TA]/TI[DATA] LM2902?四运算放大器?NS[DA TA]/TI[DA TA] LM2904?双运放大器?NS[DA TA]/TI[DA TA] LM301?运算放大器?NS[DA TA] LM308?运算放大器?NS[DA TA] LM308H?运算放大器(金属封装)?NS[DA TA] LM318?高速运算放大器?NS[DATA] LM324(NS[DA TA])?四运算放大器?HA17324,/LM324N(TI) LM348?四运算放大器?NS[DA TA] LM358?NS[DA TA]?通用型双运算放大器?HA17358/LM358P(TI) LM380?音频功率放大器?NS[DATA] LM386-1?NS[DA TA]?音频放大器?NJM386D,UTC386 LM386-3?音频放大器?NS[DA TA] LM386-4?音频放大器?NS[DA TA] LM3886?音频大功率放大器?NS[DA TA] LM3900?四运算放大器 LM725?高精度运算放大器?NS[DATA] LM733?带宽运算放大器 LM741?NS[DA TA]?通用型运算放大器?HA17741 MC34119?小功率音频放大器 NE5532?高速低噪声双运算放大器?TI[DATA] NE5534?高速低噪声单运算放大器?TI[DATA] NE592?视频放大器 OP07-CP?精密运算放大器?TI[DATA] OP07-DP?精密运算放大器?TI[DATA] TBA820M?小功率音频放大器?ST[DA TA] TL061?BI-FET单运算放大器?TI[DA TA] TL062?BI-FET双运算放大器?TI[DA TA] TL064?BI-FET四运算放大器?TI[DA TA]

笔记本常用芯片

线性稳压块:2951、LP2951、m5236、2950 开机芯片:东芝TM87XX、IBM:TB6805F、TB6806F、TB6808F、TB62501F、TMP48U I/O芯片:PC97338、PC87391、PC87392、pc87393、SMSC系列:FDC7N869、FDC37N958、LPC47N227、LPC47N267 系统供电芯片:MAX1632、MAX1631、MAX1904、MAX1634、MAX785、MAX786、SB3052、SC1402、LTC1628 CPU供电芯片:MAX1711、MAX1714、MAX1717、MAX1718、MAX1897 供电芯片搭配使用:ADP3203/ADP3415、ADP3410/ADP3421、ADP3410/ADP3422 充电芯片:MAX1645、MAX745、MAX1772、MAX1773、ADP3806、TC490/591、MB3887、MB3878、MAX1908 ,LT1505G CPU温度控制芯片:MAX1617、MAX1020A、AD1030A、CM8500 MAX1989 显卡品牌:ATI、NVIDIA、S3、NEOMAGIC、TRIDENT、SMI、INTEL、FW82807和CH7001A 搭配使用网卡芯片:RTL8100、RTL8139、Intel DA82562、RC82540、3COM、BCM440 网卡隔离:LF8423、LF-H80P、H-0023、H0024、H0019、ATPL-119 声卡芯片:ESS1921、ESS1980S、STAC9704、AU8810、4299-JQ、TPA0202、4297-JQ、8552TS、8542TS、CS4239-KQ、BA7786、AD1981B、AN12942 PC卡芯片:R5C551、R5C552、R5C476、R54472 PC卡供电芯片:TPS2205、TPS2206、TPS2216、TPS2211、PU2211、M2562A、M2563A、M2564A COM口芯片:MAX3243、MAX213、ADM213、HIN213、SP3243、MC145583 键盘芯片:H8C/2471、H8/3434、H8/3431、PC87570、PC87591 键盘芯片:具有开机功能:H8/3434、H8/3437、H8/2147、H8/2149、H8/2161、H8/2168、PC87570、PC87591、H8S/XXX M38857、M38867、M38869 笔记本IO芯片大全PC87591S(VPCQ01)/PC 87591L(VPC01)/PC 97317IBW/PC 87393 VGJ 笔记本IO芯片大全TB 62501F/TB62506F/TB6808F/KB910QF/KB910QB4/KB910LQF/KB910LQFA1 笔记本IO芯片大全KB3910QB0/KB910SFC1/KB3910SF/PC87591E-VLB/IT8510E/PS5130 笔记本IO芯片大全PC87591E (-VPCI01),(VPCQ01)/PC 97551-VPC/PC 87570-ICC/VPC 笔记本IO芯片大全PC87391VGJ/TB6807F/W83L950D/LPC47N249-AQQ/PCI4510/PC8394T 笔记本IO芯片大全PC87392/PC87541L/PC87541V/LPC47N253-AQQ/PC87591E-VLB 笔记本IO芯片大全LPC47N250-SD/LPC47N252-SG/LPC47N254-AQQ AA T3200低压差稳压器 AAI3680笔记本电脑充电控制芯片 AA T4280端口限流保护芯片 AD1885主板声卡芯片 ADl888主板声卡芯片 ADl981主板声卡芯片 ADP3160/ADP3167笔记本电脑供电控制芯片 ADP3166主板CPU供电控制芯片 ADP3168笔记本电脑供电控制芯片 ADP3170主板CPU供电控制芯片 ADP3180主板CPU供电控制芯片 ADP3181笔记本电脑CPU供电芯片 ADP3203笔记本电脑CPU供电芯片 ADP3421笔记本电脑CPU供电芯片 ADP3806笔记本电脑电池充/放电控制芯片 AIC1567主板CPU供电控制芯片 ALC200主板声卡芯片

最新常用集成电路功能简介:2

常用集成电路功能简 介:2

IAP722 调频高放、混频集成电路 IFC380HC 图像中频放大集成电路 IN065 二本振压控振荡集成电路 IN706 数字混响延时集成电路 IR2112 半桥式变换驱动集成电路 IR2E01 发光二极管五位显示驱动集成电路IR2E02 发光二极管七位显示驱动集成电路IR3N06 调频中频放大集成电路 IR3R15 音频前置放大集成电路 IR3R18 双声道前置放大集成电路 IR3R20A 自动选曲集成电路 IR3R49 伺服控制集成电路 IR3Y29AM 色度解码集成电路 IRT1260 红外遥控信号发射集成电路 IS61C256AH-15N 存储集成电路 IS93C46 存储集成电路 IX0035CE 场扫描输出集成电路 IX0040AG 音频功率放大集成电路 IX0040TA 音频功率放大集成电路

IX0042CE 伴音制式切换6MHZ集成电路 IX0052CE 伴音中频放大、鉴频及前置放大集成电路IX0062CE 图像中频放大、视频放大集成电路 IX0064CE 图像中频放大、检波、视频放大集成电路IX0096CE 伴音信号处理集成电路 IX0101SE 微处理集成电路 IX0113 图像中频放大、检波、预视放集成电路 IX0113CEZZ 图像中频放大、检波及预视放集成电路IX0118CE 视频放大集成电路 IX0129CE 色度解码集成电路 IX0132CE 液晶显示解码集成电路 IX0147CE 电子选台集成电路 IX0162GE 伺服控制集成电路 IX0195CE 色度信号处理集成电路 IX0203GE 频段转换集成电路 IX0205CE 开关电源稳压集成电路 IX0211CE 图像中频放大、视频信号处理集成电路IX0212G 高频、中频放大集成电路 IX0214CE 音频控制集成电路

相关主题