搜档网
当前位置:搜档网 › 电子系统设计综合实验

电子系统设计综合实验

电子系统设计综合实验指导书

(选择以下项目中的一项作为综合实验项目)。

项目一等精度频率计

一、课程设计目的

1、进一步熟悉QuartusⅡ的软件使用方法,熟悉keil软件使用;

2、熟悉单片机与可编程逻辑器件的开发流程及硬件测试方法;

3、掌握等精度频率计设计的基本原理。

4、掌握独立系统设计及调试方法,提高系统设计能力。

二、设计任务

利用单片机与FPGA设计一款等精度频率计,待测脉冲的检测及计数部分由FPGA实现,FPGA的计数结果送由单片机进行计算,并将最终频率结果显示在数码管上。要求该频率计具有较高的测量精度,且在整个频率区域能保持恒定的测试精度,具体指标如下:

a)具有频率测试功能:测频范围100Hz~5MHz。测频精度:相对误差恒为基准频率的万分之一。

b)具有脉宽测试功能:测试范围10μs~1s,测试精度:0.1μs。

c)具有占空比测试功能:测试精度1%~99%。

d)具有相位测试功能。

(注:任务a为基本要求,任务b、c、d为提高要求)

三、基本原理

基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。

3.1 等精度测频原理

等精度频率计主控结构如图1所示。

图 1 等精度频率计主控结构 测频计测控时序如图2所示。

图 2 频率计测控时序

预置门控信号CL 选择为0.1~1s 之间(通过测试实验得出结论:CL 在这个范围内选择时间宽度对测频精度几乎没有影响)。BZH 和TF 分别是2个高速计数器,BZH 对标准频率信号(频率为Fs )进行计数,设计数结果为Ns ;TF 对被测信号(频率为Fx )进行计数,计数结果为Nx ,则有

MUX64-8模块并不是必须的,可根据实际设计进行取舍。分析测频计测控时序,着重分析START 的作用,完成等精度频率计设计。

3.2 FPGA 模块

FPGA 模块所要完成的功能如图1所示,由于单片机的速度慢,不能直接测量高频信号,所以使用高速FPGA 为测频核心。100MHZ 的标准频率信号由FPGA 内部的PLL 倍频实现,待测信号TCLK 为方波,由信号发生器给出待测方波信号(注意:该方波信号带有直流偏置,没有负电压,幅值3.3V )。预制门控信号CL 由单片机发出,BRNA 和ENA 分别是BZH 与TF 两个计数器的计数允许信号端。FPGA 将允许计数时间内的BZH 、TF 的运行结果送入单片机进行最后的计算。

3.3 单片机模块

Ns

Fs Nx Fx //

单片机模块完成对整个测频系统的控制,包括对FPGA的控制以及数码管的显示控制。测频允许信号由单片机发出,并且单片机的P0 口负责循环读取FPGA 发送过来的测频结果数据(BZH、TF两个计数器的计数结果,每次传送8位数据),P2负责发送控制信号,单片机可以通过结束信号了解测频记数是否结束,以确定何时开始读取数据。

四、整体系统设计

1、根据以上的原理介绍,按照设计任务要求,利用VHDL进行等精度频率计系

统的和核心程序设计,通过QuartusⅡ进行编辑、编译、综合、适配、仿真测试。

2、利用单片机实现等精度频率计的频率计算以及实时显示。

3、利用EDA最小系统板实现设计。

五、设计报告要求

1.说明数字等精度频率计的工作原理。

2.画出所设计的等精度频率计模块方框图,包括FPGA部分与单片机部分。

3. 结合频率计设计任务指标,记录频率测试指标,并进行误差分析计算。

4. 记录结果图形,并将电子档存档。

5.总结设计中遇到的问题,问题出现的原因及解决问题的方法。

六、实验设备

EDA最小系统板一块(康芯)、PC机一台、示波器一台、信号发生器一台、万用表一个。

项目二VGA彩色信号演示系统设计

一、课程设计目的

1、进一步熟悉QuartusⅡ的软件使用方法;

2、熟悉可编程逻辑器件的开发流程及硬件测试方法;

3、学习FPGA硬件资源的使用和控制方法;

4、掌握VGA时序控制的基本原理,掌握FPGA对液晶的显示控制,掌握状态

机的设计方法;

5、掌握图像显示原理;

6、掌握独立系统设计及调试方法,提高系统设计能力。

二、设计任务

利用FPGA设计VGA 彩色信号演示系统,该演示系统可演示彩条信号、图像信号。具体任务为:

a)显示彩条信号,通过外部控制产生三种模式的显示变化,如表1-1所示。

模式控制参数由外部按键输入,并将显示模式显示在LCD上。例如:如果选择模式1,在VGA上显示了竖彩条,则在LCD上显示:“模式1:竖彩条”。在VGA上显示相应的竖彩条。

b)显示图像信号,将像素点数据存于FPGA内部的RAM或ROM中,通过读取RAM或ROM来获得图像数据,将图像数据进行VGA时序控制(时序控制利用状态机进行编写),并送入VGA进行显示,同时在LCD上显示“显示模式4:图像名称”。

c)将VGA的时序控制固化为VGA显示控制IP核,方便移植调用。(注:任务a为基本要求,任务b、c为提高要求)

三、基本原理

VGA工业标准要求的频率如下:

●时钟频率:25.175MHZ(像素输出的频率)。

●行频:31469HZ。

●场频:59.96HZ。

设计VGA图像显示控制要注意两个问题:一个是时序驱动,这是完成设计的关键。时序稍有偏差,显示必然不正常;另一个是VGA信号的电平驱动。

3.1 VGA显示原理

VGA 彩色显示器(640X480/60Hz)在显示过程中除R、G、B 三基色信号外,还有同步HS信号和场同步VS 信号。在显示器显示过程中,HS 和VS 极性可正可负,其极性转换逻辑在显示器内自动切换。以正极性为例,R、G、B 信号为正极性信号,并高电平有效,当VS=0、HS=0,CRT显示的内容为亮的过程,当一行扫描完毕,行同步HS=1,这时的VGA显示器扫描产生消隐及电子束回到VGA 显示器的左边下一行的起始位置(X=0 Y=1);当扫描完480 行后,VGA 显示器的场同步VS=1,产生场同步使扫描线回到VGA 显示器的第一行第一列(X=0 Y=0,约为2 个行周期)。行、场扫描示意图如图1所示。时序要求如表2所示。

图1 VGA行扫描、场扫描时序示意图

表2 行、场扫描时序要求

3.2 FPGA模块

FPGA完成的功能模块如图2所示。

图2 FPGA模块框图

VGA显示控制模块完成对VGA的时序控制,以驱动CRT进行彩条显示;LCD显示控制模块完成对LCD_16207的显示控制,驱动LCD_16207进行汉字显示,增强可读性。

四、主要步骤

1、编写相应程序,编译、仿真、绑定管脚、下载程序,在

GX-SOPC-EDA-EP2C8-STARTER-EDK开发板上实现。

2、4个跳线器连接在F1_SW1_JP1(1-2),F1_SW1_JP2(1-2),F1_SW1_JP3(1-2),

F1_SW1_JP4(1-2)状态,这样可以使用SW1A,SW2A,SW3A,SW4A。

3、SW4A 为复位信号,按下复位时屏幕显示为黑色;

4、SW4A 为高,正常工作,改变SW1A、SW2A 为01,11,10 三种输出方式,在

VGA 显示器上输出三种图形,并将模式方式显示在LCD上。

五、设计报告要求

1.说明VGA显示器的工作原理,主要是时序控制原理。

2.画出所设计的VGA控制器方框图,分析设计的时序是如何实现的。

3. 记录引脚配置结果。

4. 记录结果图形,并将电子档存档。

5.总结设计中遇到的问题,问题出现的原因及解决问题的方法。

六、实验设备

EDA最小系统板一块(革新GX-SOPC-EDA-EP2C8-STARTER-EDK)、PC 机一台、示波器一台、万用表一个。

西安交通大学电子系统设计专题实验.(DOC)

电子系统设计专题实验报告

实验一、基于 AVR ATMega128 的硬件(PCB)设计 一、 实验目的和要求
目的: (1)掌握印制电路板设计的基本原则及印制电路板的设计制作流程。 (2)掌握 Protel DXP 2004 SP2 软件的基本功能。 (3)在 Protel DXP 2004 SP2 软件平台,完成电路图到 PCB 图的设计制作过 程。 要求: (1)根据实验要求, 完成原理图的设计,并在 Protel DXP 2004 SP2 软件平台 上设计制作出相应的 PCB 图。 (2)要求 PCB 图布局布线美观,抗干扰性能强。图中所用到的元件封装必须 符合实际的元件尺寸。
二、 实验设备及设计开发环境
操作系统: XP 软件:Protel DXP 2004 SP 2
三、 实验内容
根据 ATMega128 的电路图设计一个单片机电路板,其中包括基本电路、复位 电路、时钟振荡器(ISP、键盘、RT Clock)。 MCU 原理图如图

四、 实验步骤
1. 创建新的工程项目,并新建原理图图纸 2. 设置工程参数,包括基本原理图参数设置。 3. 绘制原理图:在元件库中查找所用元件,并进行必要说明,如标签、总线、 端口等。 4. 放置各个模块与图纸合适位置,方便之后端口的对接及导入。 5. 对原理图进行电气检查,编译查错时,直至消除后保存原理图。 6. 创建新的 PCB 文件,并对 PCB 进行正确合理的参数设置(注意:在设置尺 寸时,不宜设置太小,不利于元件布局及布线),保存文件。 7. 导入原理图,将元件合理放置,原则:组合功能的元件(键盘开关)有序放 置在一起,使得各个元件布线交叉尽可能的少。 8. 对电路板自动布线,进行规则检查,注意检查 PCB 有没有缺线、缺元件的 情况修改错误的地方,并注意印刷线路的宽度设置,不宜太宽。 9. 重复步骤 8,对电路板布局不断进行修改优化,直到 PCB 的规则检查没有

数字电子技术综合实验教学设计与实践

数字电子技术综合实验教学设计与实践 数字电子技术综合实验是电子信息类专业的必修实验课程之一。本文将结合教学实践,对该实验教学设计和实践进行阐述和探讨。 一、实验教学设计 实验内容: 1.设计和实现基于FPGA的数字信号处理系统,包括数字滤波器、信号发生器、快速指数运算器等模块。 2.应用Verilog语言编写数字逻辑电路设计代码,通过FPGA开发板实现逻辑电路的验证。 3.使用Modelsim仿真工具验证电路设计代码功能。 实验目的: 1.掌握数字信号处理系统的设计方法和实现技术。 3.了解数字电路设计的仿真验证方法和工具。 实验设备和工具: 1.FPGA开发板 2.数字示波器 3.计算机 5.开发环境:Quartus II 实验步骤: 2.学习Verilog语言的基本语法和数字电路设计技术。 3.根据实验要求设计并验证数字信号处理系统相关模块的功能。 4.使用数字示波器观察信号的波形和频谱特性,并对比仿真结果进行验证。 6.完成实验报告,总结实验过程和结果。 二、实验实践

本次数字电子技术综合实验的实践过程中,学生们在教师的指导下,积极参与实验设计和实践操作。在实验前,教师详细讲解了数字信号处理的基本原理和方法,以及FPGA的应用和Verilog语言的基本语法和设计技巧。学生们根据实验要求,分组进行了实验设计和实践操作。 在数字信号处理系统的设计过程中,学生们需要了解数字滤波器的性能参数和设计要求,同时熟悉FPGA开发板的操作流程和Quartus II开发环境的使用方法。在设计过程中,学生们需要根据所学知识,编写Verilog语言代码,并进行仿真验证,以保证数字电路设计的正确性和性能。 在实验操作过程中,学生们深入了解数字电路的运作原理和特点,通过数字示波器观察信号的波形和频谱特性,并对比仿真结果进行验证和调试。通过实践操作,学生们掌握了数字电路设计和仿真验证的基本技能,同时增强了团队协作和实践操作能力。

电子系统设计实验报告

基于单片机和FPGA的等精度频率计 一、设计任务 工作频率通信系统极为重要的参数,频率测量是通信系统基本的参数测试之一。本设计的主要任务是使用单片机与 EDA 技术设计制作一个简易的等精度频率测试仪,可对输入周期信号的频率进行测量、显示。被测信号的频率范围和测试的精度要求见相应的设计任务书。 二、设计框图 图 1 硬件系统原理框图 等精度频率计的主系统硬件框图如图1 示,主要由以下几部分组成: (1)信号整形电路:用于对于放大信号进行放大和整流,以作为PLD 器件的输入信号 (2)测频电路:是测频的核心电路模块,由FPGA 或CPLD 器件组成。 (3)单片机电路模块:用于控制FPGA 的测频操作和读取测频数据,并做出相应处理。 (4)数码显示模块:用8 个数码管显示测试结果,考虑到提高单片机I/O 口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。 三、测频原理分析 3.1 等精度频率测试的原理 频率是一个基本的物理量,其它的物理量可以转换为频率进行测量。测试频率的基本方法包括直接测频和测周法。其中直接测频法是产生一个标准宽度(例如1s)的时基信号,然后在这个信号时间范围内打开闸门对被测频率信号进行计数。此方法的弱点之一是高精度的标准时基信号不容易获得;其二,这种方法对于高频信号的测量精度比较有保证,但是对于低频信号由于计数周期有限测试精度较低。测周法是用被测信号作为闸门信号、对标准脉冲信号进行计数,显

然这种方法适合测量低频信号的频率。 等精度测频法的核心思想是用两个计数器分别对标准和被测脉冲进行计数,计数的时间严格同步于被测脉冲。这种方法的最大优点是测试的精度和被测信号的频率无关,因而可以做到等精度测量。其测试原理如图2 示。预置闸门信号是测试命令,即测频的使能信号,该信号为高电平的期间进行测频。但是当预置闸门信号为高电平时,测频并不是立即开始,而是要等到被测信号的上升沿到来以后,实际闸门信号跳为高电平,测频才真正开始。同理,当预置闸门信号跳低以后,测频并没有马上结束,而是要等到被测信号的上升沿以后,实际闸门信号才跳低,测频结束。由此可见,实际闸门信号完全同步于被测信号,其脉冲宽度必然是被测信号周期的整数倍,期间对被测信号和标准脉冲进行计数,再通过简单的计算就可以求得被测信号的频率。 图 2 等精度测频各信号时序图 3.2 测频误差分析 周期法测频时,是在被测信号的一个周期x T 内,对被测信号s T 脉冲进行计数,计数结果为Ns,Tx = Ts ?Ns 误差1 s ΔN ≤。容易求得信号频率为: 对上式两边求微分,得: 固定s T ,则误差与x T 成反比,当被测信号的频率接近标准频率信号时,测量误差会增大。 直接测频时,是在一个标准的时间G T 内对被测信号x T 进行计数。设计数值为x N ,则G x x T = T ?N ,误差1 x ΔN ≤。则被测频率可以表示为: 对上式两边微分,得到相对误差为:

电子设计综合实训指导书

电子设计综合实训 实 训 指 导 书

项目一Protel99SE基本操作练习(2学时) 一、实验目的 1、掌握Protel 99 SE的基本操作,主要包括软件的启动,以及文件和文件夹的建立、打开、删除、改名、复制、粘贴等操作; 2、认识Protel99的编辑界面,了解各个工具栏的作用; 二、实验内容与步骤 1、启动Protel99 SE。 2、创建一个新的设计数据库文件 步骤:①【File】|【New】 ②单击Browse按钮,选择文件的保存位置,确定新建设计数据库的名称,一般Protel99 SE默认的文件名为“My design .ddb”。 ③单击【OK】就可以创建一个新的设计数据库文件。 3. Protel 99 SE安全设置:①设计组成员管理,在新建一个设计数据库时,每一个设计数据库都带有设计组DesignTeam,它包括Member、Permissions、Session三部分,其中Member默认有:管理员(Admin)、客户(Guest),新建一个设计数据库时,建库者一般是此库的管理员。需要多人操作时,可以根据需要增加组成员。 ②单击“设计文件管理器”中设计组Design Team中的Members文件夹,在打开Members的窗口(工作区)空白处右击,有弹出的“增加设计组成员”快捷菜单(New Member)命令,选择该命令。 ③单击“设计文件管理器”中设计组Design Team中的Permissions文件夹,在打开Permissions的窗口(工作区)空白处右击,弹出的“权限管理”快捷菜单(New Rule)命令,选择该命令。 4.启动原理图编辑器 步骤:①【File】|【New】。 ②单击标有Schematic Document的图标【OK】或直接双击。 ③单击Explore 下的Sheet1文件或直接双击工作窗口中的Sheet1图标。 5.启动印制电路板编辑器 步骤:①【File】|【New】。 ②单击标有PCB Document 的图标【OK】或直接双击。 ③单击Explore 下的PCB1文件或直接双击工作窗口中的PCB1图标。 6.不同编辑器之间的切换 方法:用鼠标单击工作窗口上已打开的标签或者先新建相应的文件,然后双击该文件也可以打开相应的编辑器。 7.原理图元件查找步骤如下。

模块化电子系统设计与实践实验平台

综合电子系统设计实验平台(以下简称实验平台)是培养学生综合运用模电、数电和单片机课程知识完成综合电子系统设计的实验装置,电子设计大赛的培训及训练平台。实验平台的配套教材《电子系统设计与实践》(第4版)为浙江省普通高校“十三五”“新形态教材,并列入“十三五”国家重点出版物出版规划项目。实验平台含有模拟电子系统设计、数字电子系统设计、单片机系统设计、综合电子系统设计等内容。 综合电子系统设计实验平台实物图和配套教材如图1所示。 图1 实验平台实物图及配套教材 图2 实验平台循序渐进框图 实验平台的主要技术指标 实验平台的基本配置包括单片机最小系统、FPGA 系统、板载USB -Blaster 下载电路、高速A/D 模块、高速D/A 模块、信号源模块、语音播放模块、4路线性稳压电源。其结构 有源滤波器直流稳压电源 FPGA 的结构和原理(逻辑单元,IO 单元,PLL ,嵌入式存储器) 单片机基本原理(时钟系统,GPIO ,定时器,中断系统)放大电路DDS 原理 单片机扩展技术 高速ADC 、DAC 的原理 AGC 电路波形变换电路FPGA 最小系统设计信号发生器设计单片机最小系统设计宽带放大电路语音存储与回放DDS 信号发生器程控放大器设计高速数据采集 仪表放大器电路V/I 变换电路 电阻测量仪设计 16位SPI 接口设计 增益可调放大器m 序列信号发生器设计 AD9854信号发生器设计 倍频电路设计电机控制系统设计测量放大器音频信号分析仪程控滤波器数控电流源设计简易存储示波器 正弦信号产生电路单电源电路 简易电子秤设计等精度频率计设计等精度测频原理数字移相器设计模拟电子系统设计数字电子系统设计 单片机电子系统设计 综合电子系统设计 理论介绍 设计实例 设计训练

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V 的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常 并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电

电子系统实验报告

电子系统设计专题实验报告 实验一基于AVR ATmega 128MCU的硬件PCB设计 一、实验目的和要求 目的:1.掌握protel dxp软件的使用 2.掌握基于AVR ATmega 128MCU的硬件PCB设计 要求:1.能够熟练使用protel dxp软件; 2.根据AVR ATmega的原理图进行PCB设计 二、实验设计题目及实现的功能 实验设计题目:基于AVR ATmega 128MCU的硬件PCB设计 实现功能:设计出AVR ATmega 128MCU的硬件PCB,其中板子上应有的功能为最小集RST OSC Power ISP LED 温度 三、实验设计电路工作原理 3.1对protel dxp软件操作的一般步骤 4.1.1创建一个新的原理图图纸 1、在Files面板的New单元,选择File ? New并点击Schematic Sheet。 2、File ? Save As将新原理图文件重命名。指定原理图目录,在文件名栏键入 Multivibrator.SchDoc,并点击Save。 3、当空白原理图纸打开后,注意工作区发生了变化:主工具栏增加了一组新的按钮, 新的工具栏出现,并且菜单栏增加了新的菜单项。现在你就在原理图编辑器中了。

3.1.2在开始绘制电路图之前,设置正确的文件夹选项。 1、选择菜单Design ? Options(文档选项),文档选项对话框打开。本教程中, 我们唯一需要修改的是将图纸大小(sheet size)设置为标准A4格式。在Sheet Options(图纸选项)标签,找到Standard Styles(标准风格)栏。点击输入 框选择A4样式。 2、点击OK按钮关闭对话框,更新图纸大小。 3、为将文件再全部显示在可视区,选择V iew ? Fit Document。【这个步骤对本练 习没有什么特别意义】Protel DXP中,你可以通过菜单热键(在菜单名中带下 划线的字母)来激活任何菜单。例如,对于选择V iew ? Fit Document菜单项的 热键就是在按了V键后按D键。许多子菜单,诸如Edit ? DeSelect菜单,是可 以直接调用的。要激活Edit ? DeSelect ? All菜单项,你只需要按X键(以调 用DeSelect菜单)及A键。 3.1.3一般的原理图参数设置: 1、从菜单选择T ools ? Preferences(热键T,P)打开原理图参数对话框。这个对 话框允许你设置全部参数,这些将应用到你将继续工作的所有原理图图纸。 2、点击Default Primitives标签以使其为当前,勾选Permanent。点击OK按钮关闭对话框。 3、在你开始绘制原理图之前,保存这个原理图图纸,因此选择File ? Save(热键F,S)。 4.1.4创建一个新的PCB 1、在Files面板的底部的New from Template单元点击PCB Board Wizard创建新的 PCB。如果这个选项没有显示在屏幕上,点向上的箭头图标关闭上面的一些单元。 2、PCB Board Wizard打开。你首先看见的是介绍页。点Next按钮继续。 3、设置度量单位为英制(Imperial),注意,1000 mils = 1 inch 。 4、向导第三页允许你选择板轮廓。本教程中我们使用我们自定义的板子尺寸。从板轮 廓列表中选择Custom,点击Next。 5、下一页进入自定义板选项。本教程电路使用一个2 x 2 inch的板子。选择Rectangular 并在Width和Height栏键入2000。取消选择Title Block & Scale(标题栏和刻度)、Legend String(图标字符串)和Dimension Lines (尺寸线)以及Corner Cutoff (角切除)和Inner Cutoff(内部切除)。点击Next继续。 6、在这一页允许你选择板子的层数。我需要两个signal layer,不需要power planes。 点击Next继续。 7、在设计中使用的过孔(via)样式选择Thru-hole vias(通孔)only,点击Next。 8、在下一页允许你设置元件/导线的技术(布线)选取项。选择Thru-hole components (通孔元件)选项,将相邻焊盘(pad)间的导线数设为One Track。点击Next继续。 9、下一页允许你设置一些应用到你的板子上的设计规则。设为默认值。点Next按钮继续。

电工电子综合设计实验报告

前言 Keil C51集成开发环境是目前世界上最优秀、最强大的51单片机开发应用平台之一。它集编辑、编译、仿真于一体,支持汇编、PL/M语言和C语言的程序设计,界面友好,易学易用。它内嵌的仿真调试软件可以让用户采用模拟仿真和实时在线仿真两种方式对目标系统进行开发。软件仿真时,除了可以模拟单片机的I/O口、定时器、中断外,甚至可以仿真单片机的串口通信。本次实验正是基于C51的编程,实现一些实用的功能. C51是针对51单片机开发的高级语言,它与一般标准的C语言基本一致,但根据单片机的硬件特点作了少许的扩展和重新定义。与汇编语言相比较,它具有开发使用方便、编程效率高及仿真调试容易等突出特点。 相同之处:关键字、运算符、变量的定义、程序结构、函数 缺点:C语言当然也有缺点,比如实时性通常要比汇编差。 1.设计目的和功能要求 ◆设计目的 ?图形点阵液晶显示 熟悉ZY12864图形点阵液晶显示器的结构以及各种指令。 掌握各种字符、汉子、字符串等在液晶屏幕上的显示原理及方法,通过实验达到能够在指定位置上将其显示出来的目的。 ?开关量、模拟量数据的采集 学习开关量、模拟量的数据采集和简单处理,了解串行模数转换芯片的工作原理,通过实验,达到能够采集实验板上某些接口的数字信号和某些元件产生的模拟信号,并通过转换将其显示出来的目的。 ◆功能要求 ?图形点阵液晶显示 将汉字和相关字符显示在液晶屏幕上。 ?开关量、模拟量数据的采集 编写程序,分别定时完成开关量和模拟量的数据采集; 利用前面液晶显示程序将开关量模拟量状态显示出来,利用蜂鸣器发出模拟量的越限警告。 2.设备硬件结构、工作原理 ◆设备硬件结构

模拟电子技术实验及综合设计课程设计

模拟电子技术实验及综合设计课程设计 一、课程简介 本课程是模拟电子技术专业的一门必修课,主要通过实验和设计来加深学生对 模拟电子技术原理的理解和掌握,提高学生的综合能力。该课程包含基础实验、综合实习和设计实习三个部分,旨在培养学生的实际操作能力和综合设计能力。 二、实验内容 基础实验 基础实验涵盖了模拟电子技术的基本理论和实验方法。具体实验内容包括放大 器电路实验、滤波器电路实验、振荡器电路实验、示波器使用实验等。这些实验既可以作为基础知识学习的补充,也可以为学生的后续实验和项目提供支持。 综合实习 综合实习是在基础实验的基础上进行的综合性实验,主要是组合基础电路实验,进行底层电路设计和性能测试。该实习主要是为了培养学生综合运用基础知识进行电子元器件系统设计的能力,提高学生的实践能力和协同合作能力。 设计实习 设计实习是整个课程的重点,在本实习中,学生需要完成一个完整的电子元器 件系统的设计,并进行测试和优化。其中,设计流程包括项目文档编写、功能需求分析、电路选型和原理图设计、PCB设计和工艺制作等。该实习旨在让学生将所学 的理论知识转化为实际应用能力,提高学生的电子系统设计和综合能力。

三、教学方法 本课程采用理论与实践相结合的教学模式。在基础实验中,教师将通过演示实验过程和现场指导,帮助学生理解实验原理和方法。在综合实习和设计实习中,学生将分组进行,团队之间进行协同合作。教师将通过集体指导和个别辅导的方式,帮助学生克服实验和设计中的问题,并对学生的进度和表现进行监督和评价。 四、实验与设计成果 在实验和设计过程中,学生将需要完成相关的实验报告和设计文档,并对实验结果和设计成果进行分析和总结。此外,学生还需要进行口头报告和项目演示,以展示其所学的知识和实践能力。 五、实践意义 本课程是模拟电子技术专业的核心课程之一,对于学生的学术研究和职业发展具有重要意义。通过学习和实践,学生将获得电路设计和测试的基本能力,并具备加入电子领域相关企业和科研机构的基础能力。 六、总结 本课程是模拟电子技术专业学习的基石,它将传授学生掌握模拟电子技术的理论和实践能力。通过本课程的学习,学生将能够深刻了解模拟电子技术的基本原理和应用技能,掌握电子元器件系统设计和测试的基本方法,提高学生综合运用各种技术进行电子设备系统设计和运用的能力。

电子系统综合设计课程设计

电子系统综合设计课程设计 介绍 电子系统综合设计课程设计是电子信息工程专业的一门核心课程,旨在让学生 了解基础电子元器件及其组合方式,掌握电路分析与设计基本方法,培养学生解决实际电子系统设计问题的能力。 本课程设计要求学生在现有的电路基础知识和实验基础上,综合应用信号处理、控制器设计、射频技术、嵌入式系统等知识,完成一个完整的电子系统设计,并进行实验验证,达到综合运用所学知识解决实际问题的目的。 任务 设计方案选择 学生可选择以下方案之一或自己提出一个足够具有挑战性的方案: 1.温度控制系统:设计一个可以自动控制温度的电子系统,通过温度传 感器采集信息并经过控制器计算输出的方式,控制恒温箱内的温度。 2.频率计:设计一个用于测量某一频率的电子系统,可以选择某一频段 并对其进行连续测量。 3.温湿度计:设计一个可以同时测量温度和湿度的电子系统,通过温湿 度传感器采集信息,计算并输出温度和湿度数值。 4.舵机控制器:设计一个用于控制舵机运动的电子系统,通过输入控制 信号控制舵机的左右旋转。 系统设计 基于所选方案,完成以下任务:

1.硬件设计:根据方案需求,设计所需电路图,完成电子元器件的选型、 板子的设计,确定布线、组装及焊接方式。 2.软件设计:基于硬件设计,进行微控制器的程序编写,具体的,可以 选择单片机、嵌入式系统、FPGA等不同的嵌入式开发平台及其编程语言。 3.测试验证:开发完成后,验证电子系统设计的功能及性能,通过实验 数据对调整电路、程序进行优化。 报告撰写 根据上述任务,学生需要按照规定格式,完成以下报告: 1.设计方案:通过正式提议形式,描述所选方案的设计思路和基本实现 方案。 2.系统实现:包括电路原理图、PCB板设计图、电路调试记录、程序代 码、测试内容、实验数据,以及强调所设计的系统在实际应用中的优势。 3.总结与展望:阐述电子系统综合设计课程设计的收益和心得体会,并 对未来的设计和研究方向进行探讨。 评分标准 1.设计方案是否具有可行性和可推广性。 2.硬件电路设计的完整性和正确性。 3.软件设计的编程方法是否规范,程序是否有效,是否满足最初的需求。 4.实验结果的准确度和可信度。 5.报告的完整性、严谨性和是否符合规范。 结论 电子系统综合设计课程设计锻炼了学生的电子设计能力和动手能力,充分体现 了综合知识的应用能力。学生通过这个课程,可以更好地掌握电子系统设计的技巧,为以后的专业学习和工作打下坚实的基础。

电子系统综合实验

电子系统综合实验 实 验 指 导 书 温州大学物理与电子信息学院

实验一IO扩展芯片实验 [实验目的和要求] 1.了解8255芯片结构及编程方法。 2.了解8255输入/输出实验方法。 3.掌握扫描键盘和显示的编程方法。 [实验内容] 1、输入/输出实验:利用8255可编程并行口芯片,进行输入/输出实验,实验中用8255PA口作输出,PB口作输入。 ①实验电路及连线:

8255的CS/接138的8400H,则命令字地址为8406H,PA口地址为8400H,PB口地址为8402H,PC口地址为8404H。 PA0~PA7(PA口)接DL1~DL7(LED); PB0~PB7(PB口)接K1~K8(开关量)。 ②实验说明: 可编程通用接口芯片8255A有三个八位的并行I/O口,它有三种工作方式。本实验采用的是方式0:PA,PC口输出,PB口输入。 ③实验程序框图 输入/输出主程序框图输入/输出主程序框图

2、扫描键盘实验:把按键输入的键码,显示在由8279控制的七段数码管上。8255PA口做键盘输入线,PB口作扫描线。 ①实验电路及连线 利用8255可编程并行口做一个扫描键盘实验,把按键输入的键码,显示在由8279控制的七段数码管上。8255PA口做键盘输入线,PB口作扫描线。 8255的CS/接138的8400H,则命令字地址为8406H,PA口地址为8400H,PB口地址为8402H,PC口地址为8404H。 8279地址确定如下: 8279的状态口地址为0FF82H; 8279的数据口地址为0FF80H; K10的十个短路套都套在8255侧。 ②实验说明 在PA口与PB口组成的64点阵列上,把按键接在不同的点上,将得到不同的键码,本实验采用8×2的阵列,共可按16个键。显示部分由8279控制,由7407驱动8位数码管显示。 ③实验框图

电子系统设计创新与实践实验报告

电子系统设计创新与实践报告 —简易红外遥控系统 班级:通信09-1 姓名:何探 学号:3090731126 指导老师:李新

1 任务 设计并制作红外遥控发射机和接收机。 2 要求 (1 )自制红外无线收、发器,可以上电工作。 (2 )调制方式:自选编码调制方式。 (3 )遥控对象:4 个,被控设备用LED 分别代替,LED 发光表示工作。(4 )接收机距离发射机不小于1m。 (5 )具有红外信号学习功能。 3 系统方案 设计框图如下: 红外遥控有发送和接收两个组成部分: 发送端采用单片机将待发送的二进制信号编码调制为一系列的脉冲串信号,通过红外发射管发射红外信号。红外接收端普遍采用价格便宜,性能可靠的一体化红外接收头(如HSOO38,它接收红外信号频率为38KHz,周期约26US)接收红外信号,它同时对信号进行放大、检波、整形,得到相应电平的编码信号,再送给单片机,经单片机解码并执行,去控制相关对象。 (1)二进制信号的编码 本设计采用不同的脉宽宽度来实现二进制信号的编码,可由发送单片机来完成。用图2-2(a)表示二制信号中的高电平‘1’,其特征是脉冲中低电平的宽度等于0.26ms,相当于10个26us的宽度,高电平的宽度等于0.52ms,相当于20个26us的宽度;用图2-2(b)表示二进制信号中的低电平‘0’,其特征是脉冲中高电平的宽度等于0.26mS,而低电平的宽度是高电平的二倍,等于0.52ms,相当于20个26us的宽度。上述10个和20个脉冲宽度还可适当调整,以适应不同数据传输速度的需要。

(2)二进制信号的调制 二进制信号的调制仍由发送单片机来完成,它把编码后的二进制信号调制成频率为38KHz 的间断脉冲串,相当于用二进制信号的编码乘以频率为38KHz 的脉冲信号得到的间断脉冲串,即是调制后用于红外发射二极管发送的信号。如图2-3所示,A 是二进制信号的编码波形,B 是频率为38KHz(周期为26uS)的连续脉冲串,c 是经调制后的间断脉冲串(相当于C=A*B),用于红外发射二极管发送的波形。图2-3中,待发送的二进制数据为10。 (3)二进制信号的解调 二进制信号的解调由一体化红外接收头HSOO38来完成,它把收到的红外信号(图2-4中波形D ,也是图2-3中波形(C)经内部处理并解调复原,输出图2-4中波形E(正好是对图2-3中波形A 的取反),HS0038的解调可理解为:在输入有脉冲串时,输出端输出低电平,否则输出高电平。二进制信号的解码由接收单片机来完成的它把红外接收头送来的二进制编码波形通过解码,还原出发送端发送的数据。如图2-4,把波形E 解码后还原成数据信息101。

电子技术综合设计实验报告

电子技术综合设计实验报告 摘要: 1、通过电子技术基础(模电、数电)课程的学习,基本能够综合运用基本理论和集成电子 器件,自行设计简单电路,并通过插班实践验证电路功能的正确性。 2、功能要求: 基础部分: 1.电路输出波形为:方波、三角波; 2.波形均没有明显失真; 3.输出频率实现可调。 发挥部分: 1.电路输出波形为:正弦波; 2.波形均没有明显失真; 3.输出频率实现可调。 3、规范化地撰写实验报告。 4、采用555定时器,由555产生方波信号,方波经RC积分电路积分后即可得到三角波,再由三角波通过RC积分电路得到正弦波,该方案集成度高,同时产生正弦波的方法简单、易调。但实际遇到种种困难不能在短时间内解决,所以又设计了用运放电路产生正弦波的电路,并验证了其正确性。 关键词:555定时器 RC积分电路运放电路

目录: 1、方案论证与比较 (3) 2、系统设计框图 (3) 3、电路原理分析 (3) 3.1方波发生部分 (4) 3.2三角波发生部分 (5) 3.3正弦波发生部分 (6) 4、问题及解决方案 (7) 5、心得体会 (8) 6、参考文献 (9)

一.方案论证与比较 1.用纯模拟技术设计多信号发生器产生方波、三角波和正弦波,但是那样根据电压比较器 的传输特性,产生的方波工作特性存在X形曲线,则波形存在失真。而且,用纯模拟电路设计需要更多的硬件资源,结构复杂、体积庞大、成本高,造成了一定的浪费。 2.采用555定时器,由555产生方波信号,方波经RC积分电路积分后即可得到三角波, 再由三角波通过RC积分电路得到正弦波,该方案集成度高,同时产生正弦波的方法简单、易调。 555定时器是一种集模拟、数字于一体的中规模集成电路,其应用极为广泛。它不仅可用于信号的产生和变换,还常用于控制与检测电路中;在本实验中运用555定时器的信号产生功能。 二.系统设计框图 系统设计框图 三.电路原理分析 1.555定时器是一种应用方便的中规模集成电路, 广泛用于信号的产生、变换、控制与

现代电子技术综合实验设计报告

综合性实验设计报告 2011年6月8日课程名称: 现代电子技术综合实验 实验名称: LED 流水灯设计 学生姓名: 班级: 08通信B 班 学号: 实验地点: 电子设计实验室(A408) 实验学时: 32

本电路包括LED发光二极管、定时/计数器和中断等部分。可以做流水灯、数码管显示、中断操作等实验。电路硬件主要包括AT89S51单片机、AT24C02、MAX232、RS232以及USB 接口等部分。 本课题是在C语言基础上的改进与提高,要求有一定的单片机基础。不仅要有良好的硬件设计基础还需要有软件电路的设计能力。通过软件的运行来验证硬件的合理性。在本设计中,应遵循从简单到复杂的原则,了解做一个系统的步骤与过程,以及调试等。 关键词:流水灯;AT89S51单片机;LED发光二极管

摘要.................................................................................................................................................. I 目录................................................................................................................................................ II 第一章总体设计方案.. (1) 1.1设计要求 (1) 1.2电路设计思路 (1) 1.3循环流水灯电路 (1) 1.4电源 (2) 第二章硬件设计 (3) 2.1AT89S51单片机概述 (3) 2.1.1 AT89S51单片机引脚功能 (3) 2.1.2 主要特性 (6) 2.2电路板设计及其制作 (7) 第三章软件设计 (8) 3.1单片机软件设计的一般原则 (8) 3.2单片机软件及数据如何存放 (8) 3.3单片机如何运行程序 (9) 3.4LED流水灯软件设计 (9) 第四章系统调试 (11) 第五章设计总结 (12) 附录1 LED流水灯系统实物图 (13) 附录2 元器件清单 (14) 附录3 C51源程序清单 (15) 参考文献 (17)

电子系统设计实验报告

《电子系统设计》 实验报告 姓名:张雨馨 学院:科信学院 专业:电子信息工程 班级:一班 学号:130072115

实验一定时器方案设计与方案论证 一、实验目的 1.掌握电子系统设计的一般方法和基本原则; 2.掌握电子系统设计的一般步骤; 二、实验内容 1. 定时器总体方案的设计与选择; 2. 调查研究定时器相关功能与参数指标; 3. 定时器相关文献资料查找与论证; 4. 编写设计文件。 三、实验要求 设计一个定时器,有如下技术要求: 1)定时时间的设置范围为1~99分钟,开机上电后的默认值为10分钟。 2)使用0.5英寸红色LED数码管显示时间。 3)定时时间可以用按键或其它方式输入。 4)定时器控制一个~220V/1A的用电设备,上电时不允许用电设备瞬间通电。 5)定时时间设定后,启动计时,用电设备通电,同时显示器逐分倒计时。其间,分个位数码管的小数点每秒闪亮一次。 6)计时到0分时,切断用电设备电源。 7)计时误差:100分误差<±10s。 8)由用电设备提供+12V电源。 9)低价位。 四、实验步骤 第一步:调查研究。通过调查研究,明确定时器设计任务和技术要求,确定系统功能指标;了解设计关键,完成系统功能框图; 第二步:方案选择。综合运用所学知识,同时通过学校图书馆电子资源查找相关文献,参阅有关参考资料,提出设计方案。要敢于创新,敢于采用新技术,提出多种方案进行论证,确定最优方案; 第三步:可行性论证。对确定的方案进行可行性论证,从完成的性能、功能、技术指标、经济性及进度等方面进行论证。 第四步:确定最终方案。进行系统功能划分,画出系统功能框图,进行单

《电子系统综合实验》实验指导书

《电子系统综合实验》实验指导书 《电子系统综合实验》实验指导书 实验一软件练习 一、实验目的 1、掌握SYSTEMVIEW动态仿真软件的基本特点、运行环境、安装方法及软件各组成 部分功能。 2、熟悉SYSTEMVIEW软件的主窗口、菜单栏、工具栏中各图标的详细功能。 二、预习要求 1、概述 SYSTEMVIEW是一个信号级的系统仿真软件,主要用于电路与通信系统的设计、仿真,能满足从数字信号处理、滤波器设计到复杂的通信系统等不同层次的设计和仿真要求。SYSTEMVIEW借助大家熟悉的WINDOWS窗口环境,以模块化和交互式的界面,为用户提供了一个嵌入式的分析引擎。使用SYSTEMVIEW时,用户只要关心项目的设计思想和过程,用鼠标即可完成复杂系统的建模、设计和测试。 2、软件特点 ①能仿真大量的应用系统 ②快速方便的动态系统设计和仿真③在报告中方便地加入SYSTEMVIEW结论④提供基于组织结构图方式的设计⑤多速率系统和并行系统 ⑥完备的滤波器和线性系统的设计⑦先进的信号分析和数据块处理⑧可扩展性和完善的自我诊断功能。 3、系统运行环境 系统的运行环境包括:系统主窗口、工具条、系统菜单栏、图符库、分析窗口、接收计算器等。 三、实验使用设备清单 SYSTEMVIEW是基于WINDOWS环境的应用软件,它对硬件的性能适中,在CPU 为486,16MB以上内存的普通PC上就能运行。 1

SYSTEMVIEW安装软件包、硬件狗。 四、实验内容与步骤 实验内容:学习使用SYSTEMVIEW动态系统仿真软件,掌握该软件主窗口构成,分 析窗口和接收计算器等各菜单项、各工具栏的详细功能。掌握各图符库的组成。实验步骤: 1、启动SYSTEMVIEW软件。 2、系统主窗口如下图1.1所示: 图1.1 系统主窗口界面 3、借助软件附带的帮助文件查阅相关功能内容。 4、在主窗口中构造一个简单系统进行编辑、修改、删除等操作进一步理解各菜单及工 具栏功能。 五、实验报告要求 ①对主要的菜单项、工具栏及各种图符库的详细内容进行注释,写在实验报告中。②试用频率分别为f1?200Hz,f2?2000Hz的两个正弦信号源,合成一调制信号y?t??5sin?2?f1t??cos?2?f2t?,观察其频谱与输出信号波形。注意根据信号的频率 选择适当的系统采样频率。 ③已知DTMF双音频编码器的低组频率为697Hz、770Hz、852Hz、941Hz,高组频率为1209Hz、1336Hz、1477Hz、1633Hz。试合成0?9、*、#的双音频, 2 并使用接收器图符中的单声道音频文件(8bit wav)输出,通过计算机的声卡输出声音,与实际电话输出的声音比较。在输出端加入一定的增益来放大波形。 1209Hz 1336Hz 697Hz 1 2 770Hz 4 5 852Hz 7 8 941Hz * 0 ④将③题中仿真结果写在实验报告中。

电力电子技术综合性实验的设计与开发

电力电子技术综合性实验的设计与开发电力电子技术是一门相对较新的研究分支,主要用于控制和优化大规模电力系统的组成部分。它集成了计算机科学、电路设计、机电系统技术、信号处理技术和微机技术等多学科的知识,发展至今已经成为现代电力系统中不可缺少的一部分,对于提高电力系统的可靠性和稳定性起着不可替代的作用。电力电子技术涉及到自动控制、信号处理、机电控制等,而电力电子实验则是电力电子技术的重要实践环节。完成实验能够为学生掌握有关技术知识,有助于提高他们分析问题、解决问题能力。 由于电力电子技术较为新颖,而且跟踪处理电力系统的技术的发展所涉及的实验内容较多,同时又牵扯到计算机、机电系统等多种技术,因此电力电子实验的设计和开发需要考虑到许多因素,要求综合性高,具有一定的技术性和规模性。本文就电力电子技术综合性实验的设计与开发进行探讨,以便对于今后电力电子技术实验研究有所裨益。 二、实验设计和开发 1、实验内容设计 电力电子技术综合性实验的设计应遵循以下几个原则: (1)实验内容应丰富多样,包括不同技术领域的实验; (2)实验应具有较强的实用性,而不仅仅是理论性; (3)实验应具有一定的可操作性,以便可以进行多次的操作; (4)实验结果可以通过图像、数据等表现形式进行描述,以便

进行分析和评估。 根据以上原则,可以设计出电力电子技术综合性实验来测试电力电子技术的有效性。实验内容可以主要包括电力电子驱动系统设计、电力电子软件设计、电力电子优化系统设计、电力电子智能控制实验以及电力电子系统实时监测等。具体的实验内容可以主要是:(1)电力电子驱动系统设计,主要实验内容包括:驱动电路设计,PWM控制电路设计,如V/F,和空间矢量控制等; (2)电力电子软件设计,主要实验内容包括:如何使用计算机来控制电力电子系统,如动态仿真软件等; (3)电力电子优化系统设计,主要实验内容包括:采用低功耗或微小的系统来优化电力电子系统等; (4)电力电子智能控制实验,主要实验内容包括:利用人工智能技术提高电力电子系统控制效率和实时性; (5)电力电子系统实时监测,主要实验内容包括:实时监测电力电子系统运行情况,以提高电力系统可靠性和可用性。 2、实验设备 电力电子技术综合性实验的实验设备应综合考虑上述实验内容,从而确定使用的实验设备。基本的实验设备可以包括:模拟电路组装板、模拟电源、示波器、行波发生器、功率电源、计算机等;控制系统设备有: AMD处理器、PLC、数据采集模块等。 3、实验测试 电力电子技术综合性实验要进行测试,以评估实验效果。实验测

综合电子系统设计

实验一有源滤波器的设计、放大器实验 一、实验目的 1、理解掌握用运放、电阻和电容组成有源低通滤波、高通滤波和带通、带阻滤波器; 2、熟悉仿真软件multisim的使用; 3、掌握波特图仪的使用; 4、利用multisim进行二阶低通与高通滤波器的设计与仿真分析。 二、实验设备 计算机,仿真软件muitism 三、实验原理 1、前置放大 前置放大所接收的信号一般为有用信号和噪声的叠加,其中有用信号只有几毫伏,噪声信号可能很大,因此前置放大一定要设计一个要高共模抑制比、低漂移、高输入阻抗的小信号放大器。 2、有源滤波器 用压控电压源电路结构或无限增益多路反馈电路实现低通、高通滤波器 图1 压控电压源低通滤波器电路图 其传输函数: 1212 20 1121221212 1 () () 1 111 () H R R C C H s H S S R C R C R C R R C C = - ++-+ ; 3 1f R H R =+

图2 压控电压源高通滤波器电路图 2 02 01121221212()(1)111H S H s H S S R C R C R C R R C C = ⎡⎤-++++ ⎢⎥⎣⎦ ;03 1f R H R =+ 图3 无限增益多路反馈高通滤波器电路图 其传输函数:2 12 2 1223231232 ()1111C S C H s C S R C C C C R R C C - =++++ ()S 其中通带增益102 C H C =-

.U i o . 图4 无限增益多路反馈低通滤波器 其传输函数: 设计方法参考已发资料。 四、 实验内容 1、前置放大器: 要求:实现放大倍数500倍;放大器的输入信号小于10mv ,输入阻抗大于10K 欧,共模抑制比大于60dB 。麦克风(咪头)输出阻抗2.2K 欧。 2、有源带通滤波器:性能指标:截止频率30,300,1H L V f KHz f Hz A ===,阻带衰减速率为-40dB/10倍频。 (可以用一级二阶低通与一级二阶高通级联构成二阶带通滤波器)。 五、实验方法及步骤 1、前置放大器设计: 设计图如下:

数字频率计的设计电子系统设计综合实验设计报告(1)

杭州电子科技大学 电子系统设计综合实验设计报告 实验名称 大组号 小组号 姓名学号 指导教师

摘要 在电子技术中,频率是最基本的参数之一,数字频率计具有精度高、使用方便、测量迅速、以及便于实现测量过程自动化等优点,是近代电子技术领域的重要工具之一,在许多领域得到广泛应用。本系统以超低功耗MSP430G2553单片机为核心处理芯片来测量信号的频率,通过定时器A采用计数法完成信号频率测量,并将被测频率值通过LCD12864液晶串行显示。频率可测量范围在1Hz到60KHz之间。 关键字:频率 430单片机液晶显示串口 1方案论证与比较 1.1测频基本方法和原理比较 方案一:使用测频法进行频率测量,测频法即在限定的时间内(如1s)检测脉冲的个数。当被测频率的范围比较高时,使用测频法比较合适。 方案二:使用测周法进行频率测量,测周法即测试限定的脉冲个数之间的时间。当被测频率的范围比较低时,使用测周法比较合适。 考虑到较高的测试频率,在此使用方案二,即测频法进行测量。 1.2 处理器的方案选择论证 本设计使用TI公司的MSP430G2553低功耗单片机为主控芯片,该单片机的I/O接口较少,但内部资源丰富,如含有10位AD转换、16位定时器/计数器、USART接口等,处理功能强大,足以胜任此次设计任务。 1.3 滞回比较电路放大器的选择 方案一:使用TI公司的OP37放大器,该放大器对信号转换速率快且稳定,适用于对高频信号的转换,但价格较高。 方案二:使用普通的LM324放大器,该放大器对信号转换速率慢,适用于对低频信号的转换,价格便宜。 考虑到三角波和正弦波在频率较高时转化为方波时对放大器的转化速率要求较高,在此使用方案一,即使用TI公司的OP37放大器作为滞回比较电路的核心转换芯片。

相关主题