搜档网
当前位置:搜档网 › 数电课程设计基于Multisim的乒乓球游戏机控制电路设计

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

数电课程设计基于Multisim的乒乓球游戏机控制电路设计
数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文)

课程名称:数字电子技术基础

题目:基于Multisim的乒乓球游戏机控制电路设计院(系):

专业班级:

姓名:

学号:

指导教师:

任务书

设计题目:基于Multisim乒乓球游戏机的控制设计电路

课题目的:

该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。

课题主要内容与要求:

内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。

要求:1、熟悉Multisim软件

2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍;

3、A,B各有一个数码管计分。

4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要

乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。

对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下:

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

积分电路具有当A和B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛积分的功能。

关键词:游戏机控制电路系统初始化

目录

1绪论 (1)

2设计任务 (2)

3设计电路 (2)

4结论总结 (7)

5收获心得……………………………………………………第9

6参考文献 (10)

1绪论

(1)课题思路

数字技术是当前发展最快的学科之一。随着集成电路工艺的发展,数字集成器件已经从小规模集成电路、中规模集成电路到大规模集成电路、超大规模集成电路的发展过程。数字技术是一项与电子计算机相伴生的科学技术,由于在预算、存储等环节需要对计算机内信息进行处理。数字电子技术主要研究各种逻辑电路、集成器件的功能及其应用,逻辑电路组合和时序电路的分析和设计、集成芯片各脚功能。随着计算机科学与技术突飞地发展,用数字电路进行信号处理的优势也更加突进。为了充分发挥和利用数字电路

处理上强大功能,我们可以先将模拟信号转化成等比例的数字信号,然后送到数字电路进行处理。

(2)Multisim介绍

对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下:

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

2设计任务

1用8个发光二极管表示求,用俩个按钮分别表示AB俩个球员的球拍;

2 一方发球后,球以固定的速度向另一方运动(发光二极管依次点亮),当

球达到最后一个二级管的时,对方击球(按下按钮)球向相反方向运动,在其

他时候击球视为犯规,给对方加一分;都犯规双方各加一份;

3 A,B各有一个数码管计分;

裁判有一个按钮,用来对系统初始化,每次得分后按下

3设计电路

根据要求,该电路必须设计3块短路完成球台驱动,控制和计分功能。当裁判按下启动按钮时,游戏机电路开始运作。系统以CP信号作为球台驱动电路和计数器积分的时钟信号,以8个二极管的依次点亮代表球的移动位置,双向选择开关J2,J3控制发球,击球信号。电路设计原理图如下图1:

球台电路计分电路

图1 电路设计原理图

1求台电路设计

球台电路通过两个4位上相移位寄存器74LS194接成一个8位的移位寄存器。具体接法为:将第一片的左移串行输入端SL接到第二片的Q0端,将第二片的右移串行输入端接到第一片的Q3端,然后将第一片的D0端和第二片的D3端制1,同时将剩余的其他几个输入端制0。其功能表如下表1:

表1 移位寄存器功能表

2功能说明:

(1)当R D =0,其他输入端均为任意值,寄存器输出Q0、Q1、Q2、Q3均为0。清除后,置R D =1。此功能对应于裁判员对系统进行初始化。

(2)当R D =S1=S2=1时,送入任意的4位二进制数,当下一个上升沿到来时,将Q0、Q1、Q2、Q3置成相应的状态。

(3)当R D =1、S1=0、S0=1时,由右移输入端SR输入二进制码1000,通过时钟脉冲CP依次右移。此功能对应于乒乓球右移。

(4)当R D =1、S1=1、S0=0时,由右移输入端SR输入二进制码0001,通过时钟脉冲CP依次左移。此功能对应于乒乓球左移。

根据设计原理,使用multisim绘制并通过仿真的电路图如下:

图2球台电路电路图

3驱动控制电路设计

该电路块由两片74LS74、两个与门7409、两个与非门7400构成,74LS74为上升沿触发的D触发器, ~PR为置1端(低电平有效),~CLR为置0端(低电平有效)。当J1=0时,两片D触发器输出端均为1即S1=S0=1,通过接入74LS194,此时实现的是并行输入功能。当J1=1时,L1=J2=1,J3=L8=0,通过各门电路将1D 置为0,将两片74LS74的CLK信号置为1,则D触发器输出端Q1、Q2分别为0,1即S1=0,S0=1。相反情况时,当J1=1时,L1=J2=0,J3=L8=1,D触发器输出端分别为1,0即S1=1,S0=0。通过此电路来控制并且实现球台灯的左右移位即实现

乒乓球的运动。

根据设计原理,使用multisim绘制并通过仿真的驱动控制电路电路图如下:

图3 驱动控制电路电路图

4计分电路设计

计分电路以PlayerA 的计分电路为例进行说明。本电路主要由一片74LS160十进制计数器、一个7404非门、7409与门构成,得分真值表如下:十进制计数器、一个7404非门、7409与门构成,得分真值表如下:

同步十进制计数器74LS160的功能表如下:

由计数器74LS160的功能表可知,当~RD=~LD=EP=ET=1时工作状态为计数,此时~CLR=~LOAD=ENT=ENP=1。选用ENP、ENT作为74LS160的计数控制端,当ENT=ENP=1时计数,当ENT=ENP=0时计分电路处于保持状态。RCO

为进位输出端,即当选手计满9分时给出报警信号。

根据设计原理,使用multisim绘制并通过仿真的计分电路图如下:

图4 计分电路电路图

5总体电路的设计

根据上述三个模块电路,将它们按一定的次序进行组合并通过仿真,即可得到下面的总电路

图5 总电路电路图

4结论总结

本电路的设计难点是咋样把裁判员的初始化信号和俩位选手的击球信号加到整个电路中去,来控制总格电路系统。球台控制电路的仿真无法用逻辑分析,经过我们小组反复讨论和分析,我们使用了俩片上升沿触发的D触发器74LS74,俩个与门7490,俩个非门7400构成电路。将裁判的开关与74LS74芯片的CLR端相连,实现裁判对电路的可控性。当CLR信号有效时,实现对电路的清零;当CLR信号无效时即开关闭合时候,允许游戏者击球。

5收获与得

通过本次数电课程设计使我懂得数字电路的基本设计方法,对Multisim仿真软件有了初步的了解和认识。让我懂得如何使用Mulsitim软件对电路进行设计和仿真,如何运用里面提供的电路部件来设计和完成电路的功能,使我在以后的工作中会利用Mulsitim进行电路设计完成工程功能的实现。

通过实验使我提高了逻辑思维能力,使我在逻辑电路的分析与设计上有很大额进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。

我认识到一个完整的电路是有不同功能的单元组成,每个单元都有各自的功能和电路实现,每个单元相互独立又相互关联,设计每一个单元必须考虑到其他单元的参数等。实践是加深理解知识原理的最好途径,通过查阅书籍资料,设计电路,计算电路参数,动手画电路图等过程是我对电路知识有了进一步理解。让我还知道了在以后的学习中,要不断的将理论与实际相结合,在实践中脚踏实地,弥补自己的薄弱点,不要因为怕错而不敢去做,如果不做怎么就知道自己的一定的错,也许自己还会是对的,就算错了也只要做了才会知道自己错哪了,才有改正错误的机会,否则一切都是白话与空谈不存在价值。还有,本次设计中用到的元件,各有各的特点,我们要留意这些元件,以便在以后的设计中使用。

最后,衷心地感谢所有帮助我们解决问题克服困难的老师同学。

6参考文献:

【1】阎石,《数字电子技术基础第五版》,北京高等教育出版社:2006

【2】君兰高仁景,《数字电子技术基础与设计》,大连大连理工大学出版社:2004

【3】吴友宇,《模拟电子技术基础》,清华大学出版社:2008【4】陈永莆,《集成电路运用800例》,电子工业出版社:2000【5】陆坤,张义中,《电子设计技术》,电子科技大学出版:1998

正弦波振荡器设计multisim(DOC)

摘要 自激式振荡器是在无需外加激励信号的情况下,能将直流电能转换成具有一定波形、一定频率和一定幅值的交变能量电路。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定、反馈系数、输出波形、起振等因素的综合考虑,本次课程设计采用电容三点式振荡器,运用multisim软件进行仿真。根据静态工作点计算出回路的电容电感取值,得出输出频率与输出幅度有效值以达到任务书的要求。 关键词:电容三点式;振荡器;multisim;

目录 1、绪论 (1) 2、方案的确定 (2) 3、工作原理、硬件电路的设计和参数的计算 (3) 3.1 反馈振荡器的原理和分析 (3) 3.2. 电容三点式振荡单元 (4) 3.3 电路连接及其参数计算 (5) 4、总体电路设计和仿真分析 (6) 4.1组建仿真电路 (6) 4.2仿真的振荡频率和幅度 (7) 4.3误差分析 (8) 5、心得体会 (9) 参考文献 (10) 附录 (10) 附录Ⅰ元器件清单 (10) 附录Ⅱ电路总图 (11)

1、绪论 振荡器是不需外信号激励、自身将直流电能转换为交流电能的装置。凡是可以完成这一目的的装置都可以作为振荡器。一个振荡器必须包括三部分:放大器、正反馈电路和选频网络。放大器能对振荡器输入端所加的输入信号予以放大使输出信号保持恒定的数值。正反馈电路保证向振荡器输入端提供的反馈信号是相位相同的,只有这样才能使振荡维持 下去。选频网络则只允许某个特定频率0f能通过,使振荡器产生单一频率的输出。 振荡器能不能振荡起来并维持稳定的输出是由以下两个条件决定的;一个是反馈电压 U和输入电压i U要相等,这是振幅平衡条件。二是f U和i U必须相位相同,这是相位f 平衡条件,也就是说必须保证是正反馈。一般情况下,振幅平衡条件往往容易做到,所以在判断一个振荡电路能否振荡,主要是看它的相位平衡条件是否成立。 本次课程设计我设计的是电容反馈三点式振荡器,电容三点式振荡器,也叫考毕兹振荡器,是自激振荡器的一种,这种电路的优点是输出波形好。电容三点式振荡器是由串联电容与电感回路及正反馈放大器组成。因振荡回路两串联电容的三个端点与振荡管三个管脚分别相接而得名。 本课题旨在根据已有的知识及搜集资料设计一个正弦波振荡器,要求根据给定参数设计电路,并利用multisim仿真软件进行仿真验证,达到任务书的指标要求,最后撰写课设报告。报告内容按照课设报告文档模版的要求进行,主要包括有关理论知识介绍,电路设计过程,仿真及结果分析等。 主要技术指标:输出频率9 MHz,输出幅度(有效值)≥5V。

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

Multisim课程设计正弦波发生器

东北石油大学MULTISIM电气应用训练 2012年3 月01日

MULTISIM电气应用训练任务书 课程MULTISIM电气应用训练 题目Multisim的正弦波振荡电路仿真 专业自动化姓名刘月莹学号0906******** 主要内容: 以文氏电桥正弦波振荡电路仿真为例,分析了基本及稳幅文氏电桥正弦波发生器的特点,并采用Multisim 10软件对文氏电桥正弦波发生器进行了仿真,仿真结果与理论分析结果一致。软件仿真在课堂教学、电路设计、及实验教学中的应用,使得课堂教学信息量饱满,设计、实验变得轻松,使教学的效果得到提升,在教学领域具有重要的推广、应用价值。 主要参考资料: [1] 黄智伟.全国大学生电子设计竞赛电路设计[J].北京:北京航空航天大学出版社,2006. [2] 康华光.电子技术基础[J].北京:高等教育出版社,2001. [3] 张凤言.电子电路基础[M].北京:高等教育出版社,1995. [4] 杨素行.模拟电子技术基础简明教程[M].北京:高等教育出版社,2002. [5] 岳怡.数字电路与数字电子技术[J].西安工业大学出版社,2004. [6] 路勇.电子电路实验及仿真[M].清华大学出版社,2004. [7] 张俊漠.单片机中级教程——原理与应用[M].北京航天航空大学出版社,2006. 完成期限2012.2.20——2012.3.1 指导教师李宏玉刘超 专业负责人 2012年3 月1 日

目录 1 任务和要求 (1) 2 稳幅文氏电桥正弦波发生器 (5) 3文氏电桥正弦波发生器电路仿真 (5) 4设计总结 (6) 参考文献 (6)

乒乓球比赛游戏机设计报告

乒乓球比赛游戏机 姓名:___***____ 学号:___201114040215__ 班级: ___11表二____

一、设计题目及要求: 题目:乒乓球比赛游戏机。 要求:(1)设计一个甲乙双方参赛,裁判参与的乒乓球比赛游戏机用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮表示击球,若击中,则“球”向相反方向运动,若未击中,对方得一分。 (3)设置自动计分电路,双方各用两位数码管来显示计分,每局10分,到达10分时,产生报警信号,铃响三秒。 二、设计思路及总体方案 两人乒乓球游戏机是由发光二极管代替球的运动,并按照一定的规则进行对垒比赛。甲乙双方击球用开关表示。 当甲乙按动开关时,球向前运动,当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球。若未击中则表示对方得分,利用计数器连接成十进制计数器记分每局十分。当二者任意一方得分为十分时发生警信号,并且自动停止球的运动,三秒的报警后,自动停止。 图1:乒乓球比赛游戏结构框图

三、元器件选型及依据: 四、电路的设计: (1)总体设计思路如下: 1.用两个74LS194四位双向移位寄存器来模拟乒乓球台,其中第一个74LS194的DL输出端QD接第二个的右移串行输入端SR,这样当乒乓球往右准备移出第一个寄存器的时候就会在时钟脉冲的作用下被移入第二个寄存器。同样道理,第二个74LS194的QA输出端接第一个的左移串行输入端。 2.用JK触发器7473、继电器及逻辑门电路构成驱动控制电路。 3.用十进制计数器74LS160D、逻辑门电路和集成的4管脚的数码管组成计分电路。 4.用十进制计数器74LS160D改装3进制计数器、逻辑门电路和蜂鸣器组成3秒报警电路。 (2)单元电路设计: 2.1、球台电路设计: 球台电路设计如下图所示:

Multisim课程设计报告

Multisim课程设计报告 课程名称:multisim电路仿真设计题目:病房呼叫系统设计 学号:王后影110914033 专业班级:11电信本(一)班

指导老师:宇安 病房呼叫系统的设计 一.实验目的 1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。 4.培养认真严谨的工作作风和实事的工作态度。 5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力 二.实验原理 要求当一号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即“1”号病室的优先级别最高,其他病室的级别依次递减,7号病室级别最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的即为当前优先级别最高的病室呼叫,同时在有呼叫的病房门口的指示灯闪烁。待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

电路设计流程图 本例在设计中采用了8/3线优先编码器74LS148,74LS148有8个数据端(0~7),3个数据输出端(A0~A1),1个使能输入端(EI,低电平有效),两个输出端(GS,E0)。数据输出端A~C根据输入端的选通变化,分别输出000~111这0~7二进制码,经逻辑组合电路与74LS47D BCD-七段译码器/驱动器的数据输入端(A~C)相连,最终实现设计要求的电路功能,电路如图所示。电路中与门74LS08DD的输出端(3、6、8)与74LS147D BCD-七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。 此例仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。K1~K7为病房呼叫开关,在其下方的Key=1,...Key=7分别表示按下键盘1~7数字键,即可控制相应开关的通道。L1~L7为模拟病房门口的呼叫指示灯,当呼叫开关K1~K7任何开关被按下时,相应开关上的指示灯即闪烁发光,同时护士值班室的数码管即显示相对最高优先级别的病房号,而且蜂鸣器SP会令计算机上的扬声器发声。

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A 或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;积分电路具有当A和B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛积分的功能。 关键词:游戏机控制电路系统初始化

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

EDA课程设计--乒乓球游戏机

EDA课程设计一乒乓球游戏机

EDA技术课程设计课题:乒乓球比赛游戏机 系别:电气与电子工程系 专业: _______ 姓名: __________________________ 学号: __________________________ 指导教师: _______________________

河南城班学院 2012年6月日 成绩评定? 一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。

录 一、设计目的 (2) 二、设计要求 (6) 三、总体设计方案要求 (4) 1、设计的总体原理 (4) 2、设计内容 (6) 四、EDA设计与仿真 (6) 1、系统程序设计 (6) 2、系统程序各功能介绍 (12) 3、仿真结果及数据分析 (13) 五、硬件实现 (14) 1、硬件实现步骤 (14) 2、硬件实现结果 (66) 六、设计总结 (20) 七、参考文献 (21) 八、设计生成的电路总图 (21)

一、设计目的 通过对FPGA (现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实 际工程技术问题的能力、査阅图书资料和各种工具书的能力、工程绘图能力、撰写技术 报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力, 使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 二、设计要求 1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。 2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右, 或从右到 左,其移动的速度应能调节。 3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断 地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

乒乓球游戏机--EDA课程设计--完整版本

目录 1 设计目的 (1) 2 设计要求和任务 (1) 2.1设计任务 (1) 2.2设计要求 (1) 3 总体设计思路及原理描述 (1) 4 分层次方案设计及代码描述 (2) 4.1控制模块的设计 (2) 4.1.1 cornal模块分析 (2) 4.1.2 cornal模块VHDL程序描述 (3) 4.2送数据模块的设计 (7) 4.2.1 ch41a模块分析 (7) 4.2.2 ch41a模块VHDL程序描述 (7) 4.3产生数码管片选信号模块的设计 (8) 4.3.1 sel模块分析 (8) 4.3.2 sel模块VHDL程序描述 (9) 4.4 七段译码器模块的设计 (10) 4.4.1 disp模块分析 (10) 4.4.2 disp模块VHDL程序描述 (10) 4.5 顶层原理图设计 (11) 5 各模块的时序仿真图 (12) 6 总结 (14) 7 参考文献 (14)

乒乓游戏机 1 设计目的 掌握熟悉的使用Quartus II 9.1软件的原理图绘制,程序的编写,编译以及仿真。体会使用EDA综合过程中电路设计方法和设计思路的不同。掌握使用EDA工具设计乒乓游戏机的的设计思路和设计方法。 2 设计要求和任务 2.1设计任务 设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和几分。 2.2设计要求 (1)甲乙双方各在不同的位置发球或击球。 (2)乒乓球的位置和移动方向由灯亮及依次点亮的方向决定,球移动的速度为0.1-0.5秒移动一位。 (3)11分为一局,甲乙双方都应设置各自的几分牌,任何一方先记满11分,该方胜出。当记分牌清零后,重新开始。 3 总体设计思路及原理描述 由乒乓游戏机功能,用原理图作为顶层模块,再将底层划分成四个小模块来实现:(1)cornal模块:整个程序的核心,它实现了整个系统的全部逻辑功能; (2)ch41a模块:在数码的片选信号时,送出相应的数据; (3)sel模块:产生数码管的片选信号; (4)disp模块:7段译码器。

乒乓球比赛游戏机设计总结报告

现代电子与系统设计总结报告 项目名称:乒乓球比赛游戏机 班级:物科院1005 姓名:周** 沈** 学号:071005** 071005** 指导老师:倪** 提交日期:2012/12/23

封面 (1) 一、设计要求 (3) 二、设计的具体实现 (3) 1、系统框图 (3) 2、甲乙方得分显示模块 (4) 3、加减计数模块 (6) 4、译码模块 (8) 5、控制模块 (9) 6、核心问题 (12)

三、结果分析 (15) 四、附件 (16) 1、完整电路图 (16) 2、各个自制元件的VHDL程序 (16) 一、设计要求 设计一个乒乓球比赛游戏机 (1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机; (2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节; (3)当“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分; (4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;

(6)其他。 二、设计的具体实现 1、系统框图 此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。 2、甲乙方得分显示模块 甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。 甲乙得分的计数: 图形: VHDL语言: LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

乒乓球比赛游戏机设计

目录 1 引言 (1) 1.1设计背景 (1) 1.2VHDL简介 (1) 1.3Q UARTUSⅡ简介 (3) 2 乒乓球比赛游戏机的设计 (3) 2.1系统设计要求 (3) 2.2设计思路 (4) 3 乒乓球比赛游戏机的实现 (6) 3.1乒乓球比赛游戏机的顶层原理图 (6) 3.2系统各功能模块的实现 (6) 3.2.1 比赛控制模块 (6) 3.2.2 记分模块 (7) 3.2.3 数码管显示模块 (8) 4 各个模块的仿真以及系统仿真、分析 (9) 4.1比赛控制模块仿真波形 (9) 4.2记分模块仿真波形图 (9) 4.3管脚锁定 (10) 4.4系统的波形仿真 (11) 4.5显示结果的几种情况 (14) 5 总结 (15) 5.1设计制作过程中遇到的问题及解决方案 (15) 5.2本设计有以下几个可以改进的地方 (15) 参考文献 (16) 附录 (17) 游戏控制模块的VHDL程序 (17) 记分模块的VHDL程序 (19) 动态扫描模块的VHDL程序 (20) 译码器模块的VHDL程序 (21)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

基于Multisim的音频功率放大器设计与仿真

信息工程学院 课程设计报告书 题目: 基于Multisimde 音频功率放大器设计与仿真 课程:电子线路课程设计 专业: 班级: 学号: 学生姓名: 指导教师: 2015 年 1 月 3 日

信息工程学院课程设计任务书 学号学生姓名专业(班级) 设计题 目 基于Multisimde 音频功率放大器设计与仿真 设计技术参数电源电压:Vs (22) 输入电压:VIN ........................±V 电源V 差分输入电压:VDIFF (5) 工作温度范围:TA …………………… 0℃~70℃存贮温度:TSTG …………………… -65℃~150℃结温:Tj …………………… 150℃ 功耗(5532FE):PD …………………… 1000mW 引线温度(焊接,10S)…………………… 300℃ 设计要求1 输出功率10W/8Ω;频率响应20~20KHz;效率>60﹪;失真小。 2 选择电路方案,完成对确定方案电路的设计。 3 利用Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并 仿真实现系统功能。 4 安装调试并按规范要求格式完成课程设计报告书。 参考资料1. 谢自美.电子电路设计.实验.测试.武昌:华中理工大学出版社,1994. 2. 童诗白.模拟电子技术基础.第二版.北京:人民邮电出版社,1999. 3. 康华光主编,电子技术基础(数字部分、模拟部分),高等教育出版社,1998. 4.周泽义.电子技术实验。武汉:武汉理工大学出版社,2001.5 5.梁宗善.《新型集成电路的应用-电子技术基础课程设计》.华中科技大学,2004 6.孙梅生.《电子技术基础课程设计》.高等教育出版社,2005 7.黄继昌,张海贵.《实用单元电路及其应用》.人民邮电出版社,2006 8.王卫东,江晓安.《模拟电子电路基础》.西安电子科技大学出版社,2003 9.华成英、童诗白.模拟电子技术基础.第四版.北京:高等教育出版社,2006.5 2015 年 1 月 3 日

数电课设-乒乓球游戏机

《数字电子技术基础》课程设计说明书题目:乒乓球游戏机 学生姓名: 学号: 院(系):理学院 专业:信息与计算科学 指导教师:陈敏歌 2012 年 5 月 19 日

目录 1﹑设计题目 (1) 2、设计任务 (1) 2.1选题意义 (1) 2.2设计目标 (1) 2.3设计要求 (1) 3、程序设计与实现 (1) 3.1电路结构 (1) 3.2球台电路设计 (2) 3.3驱动控制电路设计 (3) 3.4计分电路设计 (4) 3.5总电路的设计 (5) 4、设计难点与解决方法 (6) 5、设计不足之处 (6) 6、收获与体会 (7) 文献参考 (8) 附录1:元器件清单﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍9

1.设计题目 乒乓球游戏机 2.设计任务 2.1选题背景 乒乓球游戏机通过十分巧妙的设计采用数字芯片实现乒乓球左右移动、选手击球、得分、累计得分超10报警等功能。该设计三个双向开关J1、J2、J3分别作为裁判和游戏者A、B,且选手可以从译码显示器上直接读出自己的得分,具有操作简单、结构清晰的优点。 2.2设计目标 该乒乓球游戏机电路主要有3块电路:球台驱动电路、控制电路和计分电路组成。其中球台驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球、裁判对系统初始化的功能;积分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛计分的功能。 2.3设计要求 1).用8个发光二极管表示球,用两个按钮分别表示AB两个球员的球拍; 2).一方发球后,球一固定的速度向另一方运动(发光二极管依次点亮),当球达到最后一个二极管时,对方击球(按下按钮)球向相反的反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规双方各加1分; 3).A、B各有一个数码管计分; 4).裁判有一个按钮,用来对系统初始化,每次得分后按下一次。 3.电路设计与实现 3.1电路结构 根据设计要求,该电路须设计3块短路完成球台驱动、控制和计分功能。当裁判按下启动按钮时,游戏机电路开始运作。系统以CP信号作为球台驱动电路和计数器计分的时钟信号,以8个二极管的依次被点亮代表球的移动位置,双向选择开关J2、J3控制发球、击球信号。电路设计原理图如下图1:

相关主题