搜档网
当前位置:搜档网 › 篮球竞赛24秒计时器数电课程设计

篮球竞赛24秒计时器数电课程设计

篮球竞赛24秒计时器数电课程设计
篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计

专业:电气工程及其自动化

班级:电气1202班

指导老师:胡新晚

姓名:曾瑞琪

计数器概述

篮球竞赛24秒计时器功能

随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。

本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。

设计任务及要求

基本要求

(1)显示24秒计时功能。

(2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。

(3)计时器为24秒递减计时器,其计时间隔为1秒。

(4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。

设计任务及目标

(1)根据原理图分析各单元电路的功能;

(2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求;

(4)写出完整、详细的课程设计报告

主要参考器件

555 晶体定时器

74 LS00

74LS48译码器

74LS192十进制可编程同步加锁计数器

电路设计原理与单元模块

设计原理

24秒计时器的总体参考方案框图如图2-1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。

图2-1 24秒计时器系统设计框图

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但是设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡其构成。

译码显示电路由74LS48(译码器)和共阴极七段LED显示器组成。报警电路在试验中可用发光二极管与蜂鸣器代替。

设计方案

在本设计中,首先设计好24进制计数器,因为它作为本设计的核心部分,是设计的最主要的一部分。在此次设计中,我们选择74LS192进行24进制同步减法计数。同时选择74LS48作为BCD码译码器来对7段数码显示管进行译码驱动,选择两个七段数码显示管进行显示。根据设计要求,本课程设计采用555计时器制成的多谐振荡器,来进行秒脉冲的输入。在本设计中,因为我们需要对其进行暂停、清零、报警等控制,所以我们使用了三个开关来控制计数器的各功能的实现,从而实现了各种功能的实现。

各单元电路的设计

24进制计数器的设计

根据设计要求,本设计需要实现24进制递减功能,所以本设计采用十进制同步减法计数,因此使用74LS192来实现计数功能。十进制可逆计数器74LS192引脚排列及逻辑符号如图2.3.1(a)、(b)所示,它具有双时钟输入,并具有清除和置数等功能。

(a)引脚排列(b) 逻辑符号

图2.3.1 74LS192的引脚排列及逻辑符号

图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,

为清除端,Q0、Q1、Q2、Q3为数据输出端。其功能表如下图2.3.2所示:

输入输出

M R P

3

P

2

P

1

P

Q

3

Q

2

Q

1

Q

1×××××××0000

0 0××d c b a d c b a

0 1↑1××××加计数

0 1 1↓××××减计数

图2.3.2 74LS192的功能表

在本次设计中,因为我们要实现24进制计数功能,所以我们首先应该对计数器进行置数,由于(24)10=(00100100)8421BCD所以要先对741192两芯片进行置数,令第一块集成块的置数端Q3Q2Q1Q0=0010,令第二块的为Q3Q2Q1Q0=0100。把第二块芯片的借位端与第一块芯片的脉冲输入端相连,从而进行实现借位功能。

数码显示译码器的设计

在本设计中,根据设计的要求,我使用74LS48译码器来驱动共阴极数码显示管,74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。74LS48的引脚图和功能表分别如图2.3.3和表2.3.1所示。

图2.3.3<74ls48引脚图>

表2.3.1 74LS48的功能表

输入输出字

形数字LT RBI D C B A BI/RB

a b c d e f g

O

1

2

3

4

5

6

7

8

9

1

1

1

1

1

1

1

1

1

1

1

X

X

X

X

X

X

X

X

X

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

1

1

1

1

1

1

1

1

1

1

1 1 1 1 1 1 0

1 1 0 0 0 0 0

1 1 0 1 1 0 1

1 1 1 1 0 0 1

0 1 1 0 0 1 1

1 0 1 1 0 1 1

1 0 1 1 1 1 1

1 1 1 0 0 0 0

1 1 1 1 1 1 1

1 1 1 1 0 1 1

1

2

3

4

5

6

7

8

9消隐

脉冲消隐

灯测试

X

1

X

X

XXXX

0 0 0 0

XXXX

1

0 0 0 0 0 0 0

0 0 0 0 0 0 0

1 1 1 1 1 1 1

8

七短数码显示管的引脚图如图2.3.4所示,其中3脚和8脚相连为公共端,在电路中接地,6脚为小数点引脚,设计中不需要对其处理。

图2.3.4七短数码显示管的引脚图

秒脉冲的设计

根据设计要求,本电路需要产生间隔为一秒的时间脉冲,完成正确的计数功能。所以选择555定时器来设计此模块。从而产生标准的秒脉冲。

1.器件特性

555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。

集成555定时器有双极性型和CMOS 型两种产品。一般双极性型产品型号的最后三位数都是555,CMOS 型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4.5~12V ,最大输出电流200mA 以内,并能与TTL 、CMOS 逻辑电平相兼容。其主要参数见图2.3.5

555定时器的内部电路框图及逻辑符号和管脚排列分别如图2.3.6和内部结

构如图2.3.7所示。 引脚功能:

Vi1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。 Vi2(TR ):低电平触发端,简称低触发端,标志为TR 。 VCO :控制电压端。 VO :输出端。 Dis :放电端。

Rd :复位端。

555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生

3

1

VCC

和32

VCC 两个基准电压;两个电压比较器C1、C2;一个由与非门G1、G2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G3。

Rd 是复位端,低电平有效。复位后, 基本

RS 触发器的Q 端为1(高电平),

经反相缓冲器后,输出为0(低电平)。

分析图2.2.3.1的电路:在555定时器的VCC 端和地之间加上电压,并让VCO 悬空,则比较器C1的同相输入端接参考电压

3

2VCC ,比较器C2反相输入

端接参考电压31

VCC ,为了学习方便,我们规定:

.

(a) 555的逻辑符号

(b) 555的引脚排列

图2.2.6 555定时器逻

图2.2.7 555定时器内部结构

Vi1(TH)

Vi2

Vco

.

.

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

基于单片机的倒计时器(计数器)课程设计)

湖南文理学院课程设计报告 课程名称:单片机原理课程设计 学院:电信学院 专业班级:自动化07101 学生姓名: 指导老师: 完成时间: 报告成绩:

倒计时器设计

目录 目录 (1) 摘要 (3) ABSTRACT (4) 第一章设计要求与方案确定 (5) 1.1设计意义 (5) 1.2设计要求 (5) 1.3方案确定 (5) 第二章硬件电路 (6) 2.1单片机概述 (6) 2.1.1 单片机基础 (6) 2.1.2单片机与单片机系统 (7) 2.1.3 单片机的产生与发展 (7) 2.2MCS-51系列单片机介绍 (8) 2.2.1 80C51 芯片介绍 (8) 2.2.3 最小系统 (9) 2.2.4 定时与中断的概念 (10) 2.4LED显示电路设计与器件选择 (12) 2.4.1.LED显示器的选择 (13) 2.4.2LED驱动芯片选择 (13) 2.5按键电路设计 (13) 2.6蜂鸣器电路的设计 (14) 第三章倒计时器的设计 (15) 3.1倒计时器系统设计方案及框图 (15) 3.2程序设计 (15) 3.2.1主程序设计 (15) 3.2.2倒计时模块设计 (17) 3.2.3键盘扫描数码管显示程序 (17)

第四章倒计时器设计仿真 (18) 4.1设置倒计时初值 (18) 4.2开始倒计时 (18) 4.3倒计时结束并报警 (18) 总结 (20) 参考文献 (21) 致谢 (22) 附录1 倒计时器设计源程序 (23) 附录2 所用元器件清单 (23)

摘要 近年来随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动传统控制检测日新月异更新。在实时控制和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 本系统由单片机系统、矩阵式键盘、蜂鸣器和LED数码管显示系统组成。装置利用AT89C51单片机与74LS245驱动器驱动LED数码管显示。通过按键控制设定倒计时时间,再通过中断控制系统开始倒计时。当倒计时时间到时,由P1.0口驱动蜂鸣器发声报警。为了简化电路,降低成本,采用以软件为主的的接口方法。 该系统实用、功能灵活多样,可以对计时时间进行实时控制,可以广泛的应用于各种场所的控制设备。 【关键词】单片机;LED数码管显示器;倒计时;报警

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

数字电子技术课程设计篮球比赛30s计时器

一、设计目的 1.培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力 2.学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3.运行基本技术训练,如基本仪器仪表的使用,产业元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规以及使用仿真软件、实验设备进行调试和数据处理等。 4.培养学生的创新能力。 二、设计要求 1.30秒计时器具有显示30秒的计时功能。 2.系统设置外部操作开关,控制计时器的直接置数、清零、启动、和暂停功能。3.计时器为30秒递减计时时,其计时间隔为1秒。 4.当计时器递减计时到零时,数码显示器不能灭灯,LED变亮报警。 三、总体设计 本实验的核心部分是要设计一个30s计数器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开

时,计数器开始计数;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。系统设计框图如图下图所示。 图1 四、单元电路设计 1、译码显示电路 用发光二极管(LED )组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED 数码管或LED 七段显示器。因为计算机输出的是BCD 码,要想在数码管上显示十进制数,就必须先把BCD 码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD 码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”因此在本次的设计中我们采用了常用的74LS48。 在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方 面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动 器和显示器等部分组成,如下图所示。下面对显示器和译码驱动器分别进行介绍。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

24秒计时器课程设计

电子课程设计篮球24秒计时器 班级:自动化092201H班 姓名:陈鹏飞 学号:200922060101

目录 序言 (3) 一、设计任务及要求 (3) 二、总体框图 (3) .......................................................................................................... .......................................................................................................... .......................................................................................................... 三、选择器件 (4) ........................................................................................................... .......................................................................................................... 四、功能模块 (8) 五、总体电路设计 (12) 六、参考文献 (14) 七、心得体会 (14)

序言 篮球比赛中除了有总时间倒计时外,为了加快比赛的节奏,新的规则还要 求进攻方在24秒内有一次投篮动作,否则视为违例。本人设计了一个篮球比赛计时器,可对比赛总时间和各方每次控球时间既是。该计时器采用按键操作,LED 显示,非常实用,此计时器也可作为其他球类比赛的计时器。 篮球24秒计时器 一、设计任务与要求 1. 有显示24秒的计时功能 2. 置外部操作开关,控制计时器的直接清零,起碇和暂停连续功能 3. 计时器喂24秒递减计时器,其间隔为1秒 4. 计时器递减计时到0时,数码显示器不能灭灯 应发出光电报警信 号 二、总体框图 二. 1秒脉冲发生器: 秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555点哭构成等。 不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。本实验中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。一般情况下,要做出一个精度比较高的 频率很低的振荡器有一定的难度 工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低 精度比较高的脉冲信号发生器,其精度取决于振荡 秒脉冲发生器 外部操作信号 译码/显示电路 24t 计数器 控制电路 报警电路

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

NBA篮球竞赛24秒计时器设计

NBA篮球竞赛24秒计时器的设计 一.计时器电路的设计要求 要求:设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。 图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下

2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。 74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由7 条发光二极管(a~g)和 1 个点状发光二极管(h) 组成。是共阴极器件(阴极接地),给a~g 高电平,相应的二极管发光。

四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图2所示, 它是由555定时器组成的多谐振荡器。定时元件R1 为630kΩ, R2 为400kΩ, C为1μF,产生1Hz的标准脉冲信号。 计算公式如下: 充电时间为=(+)Cln2 ≈ 0.7 (+) C 放电时间为=Cln2 ≈ 0.7 C 振荡周期为T =+≈ 0.7 (+ 2 ) C 振荡频率为 图2.标准秒脉冲发生电路

篮球24秒倒计时器课程设计报告

厦门城市学院 2014-2015学年第一学期 《EDA软件设计》 ——课程设计论文 课题:篮球比赛24秒倒计时器的设计与仿真分析 所在系部:电子信息与工程系 年级:11级 班级:电信Z1 姓名:林鑫豪 学号:W140242131 提交时间:2015 年 1 月8 日

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球24秒倒计时器设计

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

数字电子技术课程设计-篮球24秒计时课程设计

数字电子技术课程设计 报告

题目篮球竞赛24S计时器 姓名:李想 专业:光电信息科学与工程 班级:132班 学号:180335244 任课老师:刘念 篮球竞赛24S计时器 刖言 计时器概述 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理 论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码

管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 一、设计任务及要求 1设计任务 本设计主要能完成:在篮球比赛中,规定球员的持球时间不能超过24秒,否则就犯规了。本课程设计“篮球24秒计时器”可用于篮球比赛中,用于对球员持球24秒的限制。一旦球员持球时间超过24秒,他就报警。 2设计要求 1、电路具有时间显示功能, 2、要求电路为24秒递减计时,; 3、元器件及参数选择 4、要求外部开关控制清零,暂停和复位,; 5、电路仿真与调试; 3、主要器材:1、七段共阴极数码2个 2、74LS192D 3、74LSO8D 4、74LSOOD 5、蜂鸣器 6、发光二极管 7、脉冲信号源&单刀双掷开关。 (4)编写设计报告

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

秒篮球倒计时数电实验报告

秒篮球倒计时数电实验报告

————————————————————————————————作者:————————————————————————————————日期:

法商学院 《数字电路课程设计》 课程设计报告 专业:应用电子技术 班级:应电11301 姓名:周灵 姓名:李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。 图1-1 24秒计时器系统设计框图 二、单元电路分析

篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计 专业:电气工程及其自动化 班级:电气1202班 指导老师:胡新晚 姓名:曾瑞琪

计数器概述 篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。 设计任务及要求 基本要求 (1)显示24秒计时功能。 (2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器,其计时间隔为1秒。 (4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告 主要参考器件 555 晶体定时器 74 LS00 74LS48译码器 74LS192十进制可编程同步加锁计数器

单片机课程设计(24秒篮球计数器)

1.引言 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于比赛,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 2 设计要求 1、具有24s计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停 /连续功能。 3、计时器为24秒递减时,计时间隔为1秒。 4、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 5、有直接清零然后恢复到24秒,准备重新开始计数。 学生在教师指导下,综合运用所学知识完成基于单片机的篮球比赛24秒计时器设计。要求设计一个24秒计时电路,并具有时间显示的功能。 要求: 1、设置外部操作开关,控制计数器的直接清零、启动和暂停/连续计时。

2、要求计时电路递减计时,每隔1秒钟,计时器减1。 3、当计时器减到0时,显示器上显示00,同时发出光电报警信号。 3设计思路: 选用AT89C51作为主控芯片,晶振是6KHz,机械周期为1ms,所以循环10次为1s。P0口作为段码输出,P2.0、P2.1作为位控,高电平有效。数码管是液晶显示,采用动态显示,两个串行口作为中断入口,高电平有效,启动T0定时器/计数器进行计数,低电平有效。图2.2.1是系统硬件设计电路图一。 时间设置完后,启动定时器T0开始定时计数。计时采用倒计时,比如:设置的时间为24秒钟,则在LED上显示24两位数。定时T0计数24秒后中断返回,继续定时计数下一个24秒;同时则在2位LED显示器上显示,表示时间已经过去1秒钟,即为23秒。这样一直持续下去。知道变为“00”时表示赛程结束。如果比赛中裁判叫停,则只要按下键,即可暂停计时。

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

相关主题