搜档网
当前位置:搜档网 › 110序列检测器的设计及仿真实现

110序列检测器的设计及仿真实现

110序列检测器的设计及仿真实现
110序列检测器的设计及仿真实现

题目:设计110序列检测器,当输入信号时输

出,否则

一、设计思路

我们采用Moore机完成这个功能。对于触发器的选择,为了简便我们选用D触发器以及基本的门电路完成基本设计。

二、时钟同步状态机

1根据题目要求我们得到下面的状态图

状态表示的意义Q X=0 X=1 输出Z 等待1的出现 A A B 0

出现1 B A C 0

出现11 C D C 0

出现110 D A B 1

*

Q

2 转移输出表

01

Q Q输入X

输出Z

X=0 X=1

00 00 01 0

01 00 11 0

11 10 11 0

10

00

01 1

01Q Q *

*

3 状态图如图:

通过卡诺图化简可得 转移方程:

00111=Q Q Q Q X Q X

*

*

+=

输出方程:01

Z

Q Q ?

=

我们选择D 触发器作为记忆电路部分 由D 触发器的特征方程: Q D

*

=

得激励方程:

00111D =Q Q Q X D X

+=

三、V erilog 程序如下: module shiyan2 (clk,x,z); input clk,x; output z; wire[1:0] state;

wire[1:0] excite;

nextlogic u1(x,state,excite); statememory u2(clk,excite,state); outputlogic u3(state,z); endmodule

module statememory (clk,d,q); input clk;

input[1:0] d;

output[1:0] q;

reg[1:0] q;

always @ (posedge clk) begin

q <= d;

end

endmodule

module nextlogic (x,q,d);

input x; input[1:0] q;

output[1:0] d;

assign d[0]=(q[1]&q[0])|(q[1]&x); assign d[1]=x;

endmodule

module outputlogic (q,z); input[1:0] q;

output z;

assign z=(!q[1])&q[0]; Endmodule

四、仿真结果及电路图得到功能仿真结果为:

时序仿真结果为:

利用程序生成的电路图为

从电路图和仿真结果来看这次的仿真能够完全达到题目的要求。

序列检测器

实验三有限状态机进行时序逻辑电路设计 学院:物理与电子科学学院专业:应用电子技术班级: 1007班姓名: xxx 学号: xxxxxxxxxxxxx 一,实验目的: (1)掌握利用有限状态机实现一般时序逻辑分析标的方法; (2)掌握用Verilog编写可综合的优先状态机的准模板; (3)掌握用Verilog编写状态机模板的测试文件的一般方法; 二,实验内容: 序列检测器:将一个指定的序列从数字码流中识别出来。 设计一个能够识别序列“10010”的序列检测器,设:x为数字码流输入,z为检测标记输出,且高电平表示“发现指定序列”,低电平表示“没有发现指定序列”。 考虑码流为“110010010000100101…” 完成序列“10010”检测功能电路模块的Verilog程序编写,和测试模块程序的编写. “10010”序列检测电路的状态转移图如下: 其中状态A-E表示5位序列“10010”按顺序正确出现在码流中。考虑到序列重叠的可能,

转换图中还有状态F,G。另外,电路的初始状态设为IDLE. 三,实验程序 (1)功能模块: module fim (x,z,clock,reset,,state); input clock,reset,x; output z; output[2:0]state; reg [2:0]state; wire z; parameter Idle='d0 ,A='d1, B='d2,C='d3, D='d4,E='d5, F='d6,G='d7; assign z=(state==D&&x==0)?1:0; always @(posedge clock) if(!reset) begin state<=Idle; end else case(state) Idle:if(x==1) begin state<=A; end else begin state<=Idle; end A:if(x==0) begin state<=B; end else begin state<=A; end B:if(x==0) begin state<=C; end else begin state<=F; end C:if(x==1) begin state<=D; end else begin state<=G; end D:if(x==0) begin state<=E; end else begin state<=A; end E:if(x==0) begin state<=C; end else begin state<=A; end F:if(x==1) begin state<=A; end else begin state<=B; end G: if(x==0) begin state<=G; end else begin state<=F; end default: state<=Idle; endcase endmodule

使用D触发器设计一个11001序列检测器介绍

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。 【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。 1.原件介绍 D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚

2.设计思路 根据要求,设计的序列检测器有一个外部输入x和一个外部输出Z。输入和输出的逻辑关系为:当外部输入x第一个为"1",外部输出Z为"0";当外部输入x 第二个为"1",外部输出Z为"0";当外部输入第三个x为"0",外部输出Z为"0",当外部输入第四个x为“0”,外部输出Z为0,当外部输入第五个x为“1”,外部输出Z为“1”。假定有一个外部输入x序列以及外部输出Z为: 输入X 0 1 1 1 0 0 1 0 1 输出Y 0 0 0 0 0 0 1 0 0 要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x的值。假设电路的初始状态为A,x 输入第一个"1",检测器状态由A装换到B,用状态B记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x输入第二个"1",检测器状态由B装换到C,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x输入第三个"0",检测器状态由C装换到D,外部输出Z=0;x输入第四个为“0”,检测器状态由D装换到E,外部输出Z=0;x输入第五个为“1”,检测器状态由E装换到F,外部输出Z=1。然后再根据外部输入及其他情况时的状态转移,写出相应的输出。以上分析了序列检测器工作,由此可画出原始状态图。根据原始状态图可列出原始状态表。 状态转换表 A B D C E F 1\0 1\0 0\0 0\0 1\1 0\0 0\0 1\0 1\0 0\0 0\0

光电检测原理与技术课程设计光学准直系统

光电检测原理与技术课程设计 光电准直系统

一、引言 准直系统是利用光学自准原理,利用小角度测量或可转化位小角度测量的一种常用技术测试仪器。所谓光电准直系统就是光学准直系统与光电技术结合的产物。它具有测量精度高的优点,在精密,超精密定位方面有重要的作用。 小角度测量有多种方法,本实验主要采用平面反射镜的光学杠杆原理,在探测光斑移动时使用CCD来经行图像的采集。 关键字:光学杠杆光学准直系统望远镜系统照明系统 CCD 二、基本原理: (一)光学准直系统的基本原理 这部分系统,通常是由光源,位于物镜焦平面上的分划板和物镜三部分组成,望远镜实际上是准直装置的你应用,它是将入射的平行光在其焦平面上,然后再用目镜直接观察光斑的变化。 图2.1 准直系统原理 图2.2 望远镜系统工作原理 一个准直管和一个望远镜组合,两个装置的光轴在一条直线上,我们将看到从发光点F发出的光线通过准直管的物镜变为平行于主光轴的光束,进入望远镜的物镜之后在汇聚到F点;同样发自焦平面上另一点F1的光线射出准直管后变成方向平行与光轴的光束,它在进入望远镜后汇聚于其焦平面的F1点。因此,线位移之比等于两系统焦距之比。由于平行光束成像的位置位移的由他的方向所确定,而不受平行光束在进入透镜前所走过的距离的影响,所以与发光点F及F1相关的像F及F的位置不依赖于准直管和望远镜之间的距离。 在准直管的前面放置一个全反射镜,准直管发出的平行光束再由它本身来接受,就相当与集准直管与望远镜一体,这就是准直的原理。 将一个刻度线的图像以平行光束(准直光)的形式投射到反射镜上,该反射镜将其光束反射回准直系统。如果反射镜与光轴垂直则光束将返回其自身。如果反射镜倾斜一个角度α,则其反射光将于2α反射回来。根据反射光的倾斜程度,自准图像将会以更大的角度发生位移。通过测量自准直图像在X轴Y轴上的唯一可以测量得反射镜的角度变化。自准直已为平行光。其测量结果不受距离的影响。 图2.3 准直管简易图 2.1.2 高斯系统 为了使目镜不受光源遮挡,高斯系统的自准直仪光路在其光轴上加有析光镜。测微平行光管具有类似的光学系统,只是用立方棱镜代替了析光镜,立方棱镜由两个直角镜胶合而成,其中一棱镜的胶合面镀有析光膜。这种光学系统有一个盲区,当这自准直像与原中心十字丝靠得很近或有重叠部分时便无法使双刻线瞄准,因而也就测不出相应的反射镜偏转角。 图2.4 高斯自准(1) l、光源2、聚光镜3、分光镜4、分划板5、准直镜 6、反射镜7、目镜组8、目镜焦平面 下图是高斯系统的另一种光路安排,其特点是把析光镜(立方棱镜)放在物镜组的焦平面之前,这样由于其分光作用物镜就有两个共轭的焦平面。一个焦平面放置十字线分划板l并被光源照明,另一焦平面放置双刻线分划板5。这种安排能使自准直象与原分划板分开(在视场中不再直接看到原分划板1),从而避

八位序列检测器设计

八位序列检测器设计 班级:1302012 学号: 姓名:郭春晖

一、设计说明 使用quartus软件进行仿真和验证,并且还可以检测其他的序列,只需要修改一部分代码就可以实现。 二、方案 工作原理:基于FPGA的多路脉冲序列检测器的设计方案,使用VHDL语言设计时序逻辑电路,先设计序列发生器产生序列:01010;再设计序列检测器,检测序列发生器产生序列,若检测到信号与预置待测信号相同,则输出“1”,否则输出“0”,并且将检测到的信号的显示出来。 三、单元模块设计 1、序列信号发生器 序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号。利用状态机设计,首先定义一个数据类型FSM_ST它的取值为st0到st15的16个状态。 序列信号发生器的代码如下:

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SHK IS PORT (CLK,RST :IN STD_LOGIC; CO :OUT STD_LOGIC ); END SHK; ARCHITECTURE behav OF SHK IS TYPE FSM_ST IS (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15); SIGNAL REG:FSM_ST; SIGNAL Q:STD_LOGIC; BEGIN PROCESS(CLK,RST) BEGIN IF RST ='1' THEN REG<=s0;Q<='0'; ELSIF CLK'EVENT AND CLK='1' THEN CASE REG IS WHEN s0=> Q<='1'; REG<=s1; WHEN s1=> Q<='0';REG<=s2; WHEN s2=> Q<='1';REG<=s3; WHEN s3=> Q<='1';REG<=s4; WHEN s4=> Q<='0';REG<=s5; WHEN s5=> Q<='1';REG<=s6; WHEN s6=> Q<='0';REG<=s7; WHEN s7=> Q<='0';REG<=s8; WHEN s8=> Q<='0';REG<=s9; WHEN s9=> Q<='1';REG<=s10; WHEN s10=> Q<='1';REG<=s11; WHEN s11=> Q<='0';REG<=s12; WHEN s12=> Q<='1';REG<=s13; WHEN s13=> Q<='0';REG<=s14;

设计一个1010的序列检测器

二、设计一个1010的序列检测器,检测到1010时输出为“1”否则为“0”,用D触发器实现。 第一步:根据要求进行逻辑抽象,得出电路的原始状态转换图和状态转换表。 取输入数据变量为X,检测的输出变量为Z, 该同步时序逻辑电路的功能是检测输入序列是否为1010,一旦输入序列出现一个1就记下来,因为这个1可能是1010序列的第一个1,;接着看输入是否为0,因为10是序列1010的前两位;其次再看输入是否为1,因为101是1010序列的前三位;最后再输入一个0,输出则为1,因为出现了一个1010序列,泽电路必须记住1,10,101,1010四种输入情况,每一种输入情况应与电路的一个状态相对应。 根据题意,设电路随机的输入和输出序列为: X:0 1 0 1 0 0 0 1 0 1 0 1 0…… Z: 0 0 0 0 1 0 0 0 0 0 1 0 0…… 该电路仅有一个输入端,每个现态有两个可能转移方向,设电路初态为S0,当X=0时,电路仍处在状态S0,当输入一个1以后的状态为S1,输入10以后的状态为S2,输入101以后的状态为S3,输入1010以后的状态为S4。以S n表示电路的现态,S n+1表示电路的次态。 由此得出原始状态转换图和原始状态转换表:

第二步:状态化简: 依据状态等效条件判断得出S0和S4在相同的输入条件下,它们转换到相同的次态去,且有相同的输出,故S0和S4等效,经分析比较,找出最大等效类:{S1},{S2},{S3},{S0,S4}。 由此得出化简的状态转换图和最简状态表: 第三步:状态编码: 最贱状态表共有四种状态,可用两位二进制代码来表示,设状态变量为Q1,Q2,依据状态编码原则,确定S0=00,S1=01,S2=11,S3=10四种状态,其编码后的状态转换图和状态转换表:

光电检测课程设计-激光测厚度

《光电检测课程设计》 2016 年 12 月

目录 摘要 (3) 1绪论 (4) 1.1课题研究的意义 (4) 1.2国内外现状 (4) 2视觉测量系统 (5) 2.1直射型激光三角法测位移原理 (5) 2.2双光路激光三角法测厚原理 (6) 2.3测厚原理及特点 (6) 2.4光路系统特点 (8) 3图像处理部分 (9) 3.1图像预处理 (9) 3.2阈值的确定 (10) 3.3厚度的确定 (10) 4结论 (12) 参考文献 (13)

摘要:精确测量薄板类材料的厚度,讨论了激光器光束轴心线与成像透镜光轴夹角与系统分辨率的关系,并基于最小二乘法拟合得出了光斑距离与被测物厚度的函数关系式,最后通过标定实验对系统精度进行了实验论证。结果表明,该系统消除了双光路激光三角法上下测量系统难以同步的问题,分辨率高,精度控制在 10μm,良好地满足了工业测量的需求。 关键词:激光三角法最小二乘法薄板厚度

1.绪论: 1.1课题研究的意义 随着材料加工技术的发展和测试计量技术水平的提高,材料厚度的检测对仪器测量精度提出了更高的要求,同时也由在线测量逐步取代离线机械式测量。冷轧钢板作为汽车制造、机械加工、船舶制造、土木建筑和轻工业等领域的原材料具有广泛的用途,热镀锌工艺常用来进行钢板的防锈处理,据统计,全球每年产锌量大约一半被用在于钢板防锈处理上,因而,镀锌板厚度的高精度检测关系到镀锌工艺的优化和锌层用量的合理规划。针对镀锌板厚度高精度在线检测问题,提出了一种单镜头双光路激光三角测厚模型,该模型相对传统双光路激光三角测厚法而言,通过改进光路设计将分置于上下两条光路中的光电探测器合二为一,避免了两条独立光路中图像探测器难以同步工作的问题,使得测量结果不受被测物抖动的影响. 激光测厚的优势在于不接触被测物且测量精度高,可解决一些以往难以解决的问题,因此在实际应用中受到广泛青睐.激光三角法在线厚度测量通常都在C型机架上进行,而C型机架在大震动环境里自身难以避免震动,这导致上、下两组测量探头相对位置发生变化,产生测量误差.目前消除震动的方法有:震动隔离、震动补偿[1]等,其中震动隔离方法硬件设计较复杂,且不能消除C型机架自身震动[1];传统的震动补偿法不能满足上、下探头测量数据与C型机架微位移变化厚度补偿数据的同步性.因此,仍不能很好地满足在线动态高精度测量的要求. 1.2国内外现状 现在,世界上激光三角法薄板在线测厚过程存在两个典型的问题:(1)被测工件在工件传输线上向前运动时伴有沿着激光束方向的前后轻微跳动;(2)C型机架在大震动环境里自身震动,这些问题会引起测量误差.对此,提出了三同步激光三角法厚度测量方法.该法利用CCD同步驱动技术[2],在同一时刻采集3组测量探头数据,其中,上、下两组测量探头对被测物体厚度进行测量;

1011序列检测器

综合设计性实验报告 题目: 学生姓名: 学号: 班级: 指导教师: 学期:2010——2011第2学期

目录 一基本知识点 (1) 二实验器件 (1) 三设计思路 (1) 四设计过程 (2) (一)三位二进制减法计数器(无效状态000,001) (二)5 五引脚功能 (9) 六逻辑电路图: (11) 七实验结果波形图 (12) 八设计心得体会 (12)

一基本知识点 1、掌握时序电路的设计方法和步骤 2、掌握触发器的设计与应用 3、掌握移位寄存器的原理与应用 4 熟悉集成电路的引脚排列; 5 掌握芯片的逻辑功能及使用方法; 6 了解序列产生及检测器的组成及工作原理 7 会在EWB软件上进行仿真; 二实验器件 1、移位寄存器74LS194 1片 2、负边沿JK触发器74LS112 1片 3四输入与非门74LS20 1片 4、六输入非门74LS05 1片 5 电源一个 6 地线一个 7 与门,或门,非门若干个 8 时钟脉冲一个 三设计思路 1作原始状态表。根据给定的电路设计条件构成原始状态表和状

态转化图 2状态表的简化。原始状态表通常不是最小化状态表,它往往包括多余的状态,因此必须首先对它进行简化。 3状态分配。即对简化后的状态给以编码。这就要根据状态数确定触发器的数量并对每个状态指定一个二进制数构成的编码。 4根据给定的电路设计条件选择触发器根据 5 作激励函数和输出函数。根据选用的触发器激励表和电路的状态表,综合出电路中各触发器的激励函数和电路的输出函数。 ⑸6画逻辑图,并检查自启动功能 四设计过程 (一)101101001信号发生器的设计 设计一个信号序列发生器,在产生的信号序列中,含有“1011”信号码,要求用一片移位寄存器,生成信号序列“10110100”,其中含有1011码,其设计按以下步骤进行:、、 1本实验所用仪器为移位寄存器74LS194,确定移存器的位数n。因M=9,故n≥4,用74LS194 的四位。 2确定移存器的九个独立状态。将序列码101101001按照每四位一组,划分为九个状态,其迁移关系如下所示: 3作出状态转换表及状态转换图如下:

课程设计 光电脉搏检测电路设计报告

光电脉搏检测电路设计报告 脉搏波的概述 1.脉搏波的定义 脉搏波是以心脏搏动为动力源, 通过血管系的传导而产生的容积变化和振动现象。当心脏收缩时, 有相当数量的血液进入原已充满血液的主动脉内, 使得该处的弹性管壁被撑开,此时心脏推动血液所作的功转化为血管的弹性势能; 心脏停止收缩时, 扩张了的那部分血管也跟着收缩, 驱使血液向前流动, 结果又使前面血管的管壁跟着扩张, 如此类推。这种过程和波动在弹性介质中的传播有些类似, 因此称为脉搏波(pulse wave) 。 2.脉搏信息 血液在人体内循环流动过程中,经历过心脏的舒张、内脏流量的涨落、血管各端点的阻滞、血管内波的折一反射以及血管壁的黏弹等过程。脉搏波不仅受到心脏状况的影响,同时要受到内环境调控功能器官(脏器) 状态所需血液参数以及系统状态参数等的影响。所以脉搏波所呈现出的形态、强度、速率和节律等方面的综合信息富含有关心脏、内外循环和神经等系统的动态信息,很大程度上反映出人体心血管系统中许多生理病理的血流特征。 3.脉搏测量的意义 脉搏是临床检查和生理研究中常见的生理现象,包含了反映心脏和血管状态的重要生理信息。人体内各器官的健康状态、病变等信息将以某种方式显现在脉搏中即在脉象中。人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。通过对脉搏波检测得到的脉波图含有出许多有诊断价值的信息,可以用来预测人体某些器脏结构和功能的变换趋势,如:血管几何形态和力学性质的变异会引起脉搏波波形和波速等性质的改变,而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 设计目的与意义 ?目的 应用光电式传感器、放大滤波电路组成的脉搏测量电路 通过示波器显示人体指端动脉脉搏信息 ?意义 通过观测到的脉搏的次数、跳动的波形为临床提供部分 诊断价值的信息,为人体某些器脏结构和功能的变换趋势提供生理参考信号 系统设计 1.测量信号的特征

序列检测器

目录 第一章设计方案.........................................................1 1.1设计任务..........................................................1 1.2设计要求..........................................................1 1.2.1整体功能要求.................................................1 1.2.2测试要求.....................................................1 第二章设计思路.........................................................2 2.1数字频率计介绍....................................................2 2.2设计原理..........................................................2 2.2.1频率测量的基本原理...........................................2 2.2.2整体方框图及原理.............................................2 2.2.3序列器结构框图...............................................2 第三章模块介绍.........................................................4 3.1顶层文件模块......................................................4 3.1.1顶层文件原理.................................................4 3.1.2顶层文件模块verilog语言描述程序.............................4 3.2伪随机序列发生器模块..............................................4 3.2.1伪随机序列发生器.............................................4 3.2.2伪随机序列发生器原理.........................................5 3.2.3伪随机序列发生器模块verilog语言描述程序.....................6

序列检测器的一种简化实现算法

第8卷第6期石家庄学院学报Vol.8,No.62006年11月JournalofShijiazhuangUniversityNov.2006序列检测器的一种简化实现算法 李俊红,解建军 (河北师范大学数学与信息科学学院,石家庄050016) 摘要:分析了序列检测器的内部原理,给出它的一种新硬件实现.利用它无需对状态图进行状态化简,极大地简化了时序线路的设计.最后结合具体实例说明了该设计思想的详细步骤和具体实现方法. 关键词:子串;主串;序列检测器 中图分类号:TP16文献标识码:A文章编号:1673-1972(2006)06-0063-03 1序列检测器原理 序列检测是指将一个指定的序列从数字流中识别出来,或在主串中查询相应子串,一般可以通过软件方法或时序电路即硬件方法实现.有关软件实现方法的研究可参见文献[1],本文主要针对时序电路进行讨论.用硬件方法实现序列检测器时,检测器中存储模式串,主串可以通过输入端流入检测器[2,3].在主串的输入过程中,检测器可以动态检测子串.检测器利用时序线路记忆已检测出的有效序列,并与自身所含的模式串进行比对,若检测成功,输出端自动输出成功标记[4].设计一个“11100”序列检测器,当识别到一组序列时,输入一个高电平.由于采用时序线路,主串的内容应每给一个上升沿或下降沿输入一位,具体应视所选触发器类型而定. 我们提出一种新硬件实现方法,在该方法中对每一个状态都根据实际意义给予特殊的含义,具体含义在后面的实例中再加以说明,由于不存在重复状态,故最终的状态图不用化简. 序列检测器的初态是指被检序列的第一位出现前的特定状态,此状态后如果输入的代码对检测有效(即被测序列的第一位),则相应次态为新的状态(第2个状态,它记住了被测序列的第一位),否则相应次态仍为初态.第2个状态是指被检序列的第一位出现后的特定状态,此状态后如果输入的代码对检测有效,(即被测序列的第2位)则相应次态为新的状态(第2个状态,它记住了被测序列的前2位),否则判断最近输入的代码是否是被检序列的第一位,是则相应次态仍为第2个状态,否则相应次态为初态.以次类推,第i个状态记住了被检序列的前i-1位,相应次态确定方法如下: 假设序列长度为n,当i<n时,如果第i个状态后输入的一位代码是被检序列的第i位,则次态为新的状态(记住了被检序列的前i位),否则次态按如下规则选择:从初态开始输入的i位代码中如果其中的后i-j位为被检序列的前i-j位,则次态为第i-j+1个状态(j=1,2,...,i-1,找到次态即停止),否则次态为初态.此时所有的外输出均为‘0’. 当i=n时,第n个状态已经记住了被检序列的前n-1位,此状态后输入的一位代码如果是被检序列的第n位,则外输出为‘1’,否则外输出为‘0’,其次态按如下规则选择:从初态开始输入的n位代码中如果其中的后n-j位为被检序列的前n-j位,则次态为第i-j+1个状态(j=1,2,...,n-1,找到次态即停止),当j=n时,次态为初态. 按上述方法构造的原始状态转移图中恰好含n个状态,且每个状态都有确定的含义,避免了其设计过程中,构造原始状态转移图繁杂,化简原始状态转移图麻烦的弊端,设计时既逻辑清晰,又不用化简,从而极大地简化了该类线路的设计. 收稿日期:2005-12-09 基金项目:河北省石家庄市科学研究与发展计划项目(05213570);河北师范大学青年基金资助(L2005Q02) 作者简介:李俊红(1971-),女,山西运城人,河北师范大学数学与信息科学学院讲师,硕士,研究方向:并行逻辑模拟,计算机系统结构.

光电课程设计报告2012

课程设计总结报告 课程名称:《光电技术》课程设计学生姓名:邓跃斌、付炜、黑阳超、林松系别:物理与电子学院 专业:电子信息科学与技术 指导教师:雷立云 2012年11月29日

目录 一、设计任务书 (3) 1、课题 (3) 2、目的 (3) 3、设计要求 (3) 二、实验仪器 (3) 三、设计框图及整体概述 (4) 四、各单元电路的设计方案及原理说明 (4) N E定时器构成多谐振荡器作调制电源 (5) 1、用555 N E电路结构 (5) (1)555 N E定时器组成的多谐振荡器 (5) (2)由555 (3)发射端电路 (6) L F放大器构成接收放大电路 (7) 2、用353 (1)光放大器 (7) (2)光比较放大器 (7) 五、调试过程及结果 (8) 1、调试的过程及体会 (8) 2、调试结果 (8) 六、设计、安装及调试中的体会 (9) 七、对本次课程设计的意见及建议 (9) 八、参考文献 (10) 九、附录 (10) 1、整体电路图 (10) 2、课程设计实物图 (10) 3、元器件清单 (11)

一、设计任务书 1、课题 光电报警系统设计与实现。 2、目的 本课程设计的基本目的在于巩固电子技术、光电技术、感测技术以及传感器原理等方面的理论知识,从系统角度出发,培养综合运用理论知识解决实际问题的能力,并养成严谨务实的工作作风。通过个人收集资料,系统设计,电路设计、安装与调试,课程设计报告撰写等环节,初步掌握光电系统设计方法和研发流程,逐步熟悉开展工程实践的程序和方法。 3、设计要求 (1)基本要求 用555 N E构成占空比为0.5多谐振荡器作发光二极管的调制电源,并对参数选择进行分析说明;选用324 L M构成比较放大器进行报警电路设计;画出所做实验的全部电路图,并注明参数;记录调试完成后示波器输出的各测量点电压波形。 (2)扩展要求(选做) 分析影响作用距离的因素,提出提高作用距离的措施;设想光电报警系统的应用场合,并根据不同应用提出相应电路的设计方案。如需要闪烁报警,电路如何设计? 二、实验仪器 多功能面包板………………………………………………………………1块T D S.60M H z.1Gs s双通道数字存储波示器………………………1台1002 YB A A直流稳压电源…………………………………………………1台17333 万用表………………………………………………………………………1台

实验四8序列检测器的设计

实验四序列检测器的设计 一、实验目的 1)了解序列检测器的工作原理 2)熟悉MAX+plusII软件的基本使用方法 3)熟悉EDA实验开发的基本使用方法 4)学习VHDL程序中数据对象,数据类型,顺序语句,并行语句的综合使用 二、实验内容 设计一个序列检测器,当序列检测器连续收到一组串行的二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同,在检测过程中,任何一位不相等都将回到初始状态重新开始检测。 三、实验条件 开发软件:MAX+plus II 9.23 Baseline 硬件设备:装有windows7的pc机 四、实验设计 1)系统的原理框架图

2)VHDL源程序 chk.vhd源代码 library ieee; use ieee.std_logic_1164.all; entity chk is port(din: in std_logic; clk,clr:in std_logic; d:in std_logic_vector(7 downto 0); ab:out std_logic_vector(3 downto 0)); end entity chk; architecture art of chk is signal q:integer range 0 to 8; begin process(clk,clr)is begin if clr='1'then q<=0; elsif clk'event and clk='1'then case q is when 0=> if din=d(7)then q<=1;else q<=0;end if; when 1=> if din=d(6)then q<=2;else q<=0;end if; when 2=> if din=d(5)then q<=3;else q<=0; end if; when 3=> if din=d(4)then q<=4;else q<=0; end if; when 4=> if din=d(3)then q<=5;else q<=0; end if; when 5=> if din=d(2)then q<=6;else q<=0; end if; when 6=> if din=d(1)then q<=7;else q<=0; end if; when 7=> if din=d(0)then q<=8;else q<=0; end if; when others => q<=0; end case; end if; end process; process(q)is begin if q=8 then ab<="1010"; else ab<="1011"; end if; end process; end architecture;

数字逻辑实验 8_序列检测器

实验八序列检测器的设计与仿真 一、实验要求 1.用VHDL语言设计一个Mealy机以检测“1101001”序列; 2.用VHDL语言设计一个Moore机以检测“1101001”序列; 3.在文本编辑区使用VHDL硬件描述语言设计逻辑电路,再利用波形编辑区进行逻辑功 能仿真,以此验证电路的逻辑功能是否正确。 二、实验内容 用VHDL语言设计各一个mealy和moore状态机测试“1101001”位串的序列检测器,并通过仿真波形验证设计的功能是否正确。 三、实验过程 由于在报告1中已经详尽描述了如何使用Quartus 2建立逻辑原理图和使用VHDL语言实现元件功能,所以本次的实验报告中便不再赘述上述内容,报告将主要就VHDL 语言描述实现元件的功能的过程进行阐述。 1.Mealy机 选择File→New,弹出新建文本对话框,在该对话框中选择VHDL File并单击OK按钮,进入文本编辑窗口,输入VHDL代码。 library ieee; use ieee.std_logic_1164.all; entity melay is port(clk,rst,d: in std_logic; z: out std_logic); end melay; architecture arc of melay is type state_type is(s0,s1,s2,s3,s4,s5,s6); signal state: state_type; begin process(clk,rst) begin if rst= '1' then state<=s0; elsif (clk'event and clk ='1') then case state is --1101001 when s0 => if d='1' then state<=s1; else

110序列检测器的设计及仿真实现

题目:设计110序列检测器,当输入信号时输 出,否则 一、设计思路 我们采用Moore机完成这个功能。对于触发器的选择,为了简便我们选用D触发器以及基本的门电路完成基本设计。 二、时钟同步状态机 1根据题目要求我们得到下面的状态图 状态表示的意义Q X=0 X=1 输出Z 等待1的出现 A A B 0 出现1 B A C 0 出现11 C D C 0 出现110 D A B 1 * Q 2 转移输出表 01 Q Q输入X 输出Z X=0 X=1 00 00 01 0 01 00 11 0 11 10 11 0

10 00 01 1 01Q Q * * 3 状态图如图: 通过卡诺图化简可得 转移方程: 00111=Q Q Q Q X Q X * * += 输出方程:01 Z Q Q ? = 我们选择D 触发器作为记忆电路部分 由D 触发器的特征方程: Q D * = 得激励方程: 00111D =Q Q Q X D X += 三、V erilog 程序如下: module shiyan2 (clk,x,z); input clk,x; output z; wire[1:0] state;

wire[1:0] excite; nextlogic u1(x,state,excite); statememory u2(clk,excite,state); outputlogic u3(state,z); endmodule module statememory (clk,d,q); input clk; input[1:0] d; output[1:0] q; reg[1:0] q; always @ (posedge clk) begin q <= d; end endmodule module nextlogic (x,q,d); input x; input[1:0] q; output[1:0] d; assign d[0]=(q[1]&q[0])|(q[1]&x); assign d[1]=x; endmodule

8位序列检测器的设计

八位序列检测器设计 摘要:序列检测器多用于通信系统中对禁用码的检测,或者是对所需信号的提取,即一旦检测到所需信号就输出高电平,这在数字通信领域有广泛的应运。本文介绍了一种采用单片PGA 芯片进行脉冲序列检测器的设计方法,主要阐述如何使用新兴的EDA 器件取代传统的电子设计方法,利用FPGA 的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使设计的电路体积更小功能更强大。本次课程设计设计出能够检测序列“”的序列检测器,并以此来描述序列检测器的设计过程和基于FPGA 的软件仿真。最后通过QuartusII 的波形输出对设计方案进行检测,在硬件调试经检测输出正确设计符合要求。 关键词: VHDL 序列检测QuartusⅡFPGA Abstract:Sequence detector system used for communication on the detection code disabled, or is the extraction of the desired signal, that is, once detected, the required high output signal, which in the broad field of digital communications to be transported. This paper presents a single FPGA chip with the detector pulse sequence design method, mainly on how to us e new device to replace the traditional EDA electronic design, the use of FPGA's programmability, concise and changing the design method shortens the development cycle, while allowing smaller circuit design and more powerful. The curriculum is designed to detect sequence "" sequence detectors, and detector in order to describe the sequence of the design process and FPGA- based software simulation. Finally, the output of the waveform QuartusII design testing, debugging the hardware design has been tested and meet the requirements of the correct output. Keywords:VHDL Sequence detection QuartusⅡFPGA

11100101序列检测器

状态图: 状态表: VHDL: Library IEEE.; Use.ieee.std_logic_1164.all;

LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SCHK IS PORT( DIN,CLK,CLR : IN STD_LOGIC ; --串行输入数据位/工作时钟/复位信号 AB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); --检测结果输出 END SCHK; ARCHITECTURE behav OF SCHK IS SIGNAL Q : INTEGER RANGE 0 TO 8 ; SIGNAL D : STD_LOGIC_VECTOR(7 DOWNTO 0); --8位待检测预置数 BEGIN

D <= "11100101 " ; --8位待检测预置数 PROCESS( CLK, CLR ) BEGIN IF CLR = '1' THEN Q <= 0 ; ELSIF CLK'EVENT AND CLK='1' THEN --时钟到来时,判断并处理当前输入的位CASE Q IS WHEN 0=> IF DIN = D(7) THEN Q <= 1 ; ELSE Q <= 0 ; END IF ; WHEN 1=> IF DIN = D(6) THEN Q <= 2 ; ELSE Q <= 0 ; END IF ; WHEN 2=> IF DIN = D(5) THEN Q <= 3 ; ELSE Q <= 0 ; END IF ; WHEN 3=> IF DIN = D(4) THEN Q <= 4 ; ELSE Q <= 0 ; END IF ; WHEN 4=> IF DIN = D(3) THEN Q <= 5 ; ELSE Q <= 0 ; END IF ; WHEN 5=> IF DIN = D(2) THEN Q <= 6 ; ELSE Q <= 0 ; END IF ; WHEN 6=> IF DIN = D(1) THEN Q <= 7 ; ELSE Q <= 0 ; END IF ; WHEN 7=> IF DIN = D(0) THEN Q <= 8 ; ELSE Q <= 0 ; END IF ; WHEN OTHERS => Q <= 0 ; END CASE ; END IF ; END PROCESS ; PROCESS( Q ) --检测结果判断输出 BEGIN IF Q = 8 THEN AB <= "1010" ; --序列数检测正确,输出“A” ELSE AB <= "1011" ; --序列数检测错误,输出“B” END IF ;

序列检测器的设计实验报告

班级:生物医学工程141班姓名:刘玉奔学号:6103413018 设计性实验项目名称序列信号发生和检测器设计 (一)实验目的 1、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法; 2、学习有限状态机法进行数字系统设计; 3、学习使用原理图输入法进行设计。 (二)设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下: 1、先用设计0111010011011010序列信号发生器,其最后6BIT数据用LED显示出来; 2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则 输出为“1”,否则输出为“0”; 3、检查检测01011,即将发生的序列最后五位改为01011,为0111010011001011 (三)主要仪器设备 1、微机1台 2、QuartusII集成开发软件1套 3、EDA实验装置1套 (四)实验步骤 主要有三个模块 1:一个设计序列信号发生器 2:一个设计序列信号检测器 3:综合两个设计,通过对模块的调用达到最终效果 (五)实验数据 --设计时间:2016.10.29 --设计者:刘玉奔 --设计内容:1、先用设计0111010011001011序列信号发生器,其最后6BIT数据用LED 显示出来; --2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“01011”则输出

为“1”,否则输出为“0”; --序列信号发生器部分 LIBRARY IEEE;--声明IEEE库 USE IEEE.STD_LOGIC_1164.ALL;--允许使用IEEE中程序包STD_LOGIC_1164 ENTITY serialsignalgenerator IS PORT(CLK,RST:IN STD_LOGIC; CO:OUT STD_LOGIC; LED0,LED1,LED2,LED3,LED4,LED5:OUT STD_LOGIC); END behav; 得到symbol file: 序列信号检测器: LIBRARY IEEE;--声明IEEE库 USE IEEE.STD_LOGIC_1164.ALL;--允许使用IEEE中程序包STD_LOGIC_1164 ENTITY serialsignaltest IS PORT(CLK,DIN,CLR:IN STD_LOGIC; SS:OUT STD_LOGIC; LED0,LED1,LED2,LED3,LED4:OUT STD_LOGIC);

相关主题