搜档网
当前位置:搜档网 › 电子数字钟的设计与制作

电子数字钟的设计与制作

电子数字钟的设计与制作
电子数字钟的设计与制作

电子数字钟的设计

与制作

学院(系):

专业班级:

姓名:

学号:

指导教师:

设计日期:

《模拟电子技术基础》课程设计任务书

自动化、电气工程及其自动化适用

设计题目(可自选)

八、电子数字钟的设计与制作

要求:(1)带5V稳压电源(电源形式不限);

(2)用LED管显示时、分、秒;

(3)(选做)增加部分扩展功能(如准点报时、定时闹钟等);

目录

1 附课程任务设计书---------------------------------------------------1

2 系统概述

2.1 数字钟的基本原理------------------------------------------------------3

2.2 原理图--------------------------------------------------------------3

3 单元电路设计与分析

3.1 振荡器电路------------------------------------------------------------------------------4 3.2 秒计数和分计数电路----------------------------------------------------------------------5 3.3 时计数电路-----------------------------------------------------------------6

3.4 显示电路---------------------------------------------------------------------------7

3.5 校时电路------------------------------------------------------------------------------8

3.6整点报时电路-----------------------------------------------------------------------9

3.7 定时闹钟电路--------------------------------------------------------------------10

4 系统电路总图--------------------------------------------

4.1不带定时闹钟的设计图(手绘)————————————————————

4.2带定时闹钟的总设计图(打印版)——-------------------------------------------

5 设计总结-------------------------------------------------------------------13

附录(元器件种类及数量)--------------------------------------------------14

参考文献--------------------------------------------------------------------------------------15

2.1 数字钟的基本原理

数字电子钟主要由信号发生器、“时、分、秒”计数器、LED数码管、校时电路等组成,有些附带了整点报时、定时闹钟等功能。工作原理为时钟源(振荡器)用以产生稳定的脉冲信号,作为数字时钟的时间基准,要求振荡频率为1HZ,为标准秒脉冲。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可以实现24小时的累计。LED数码管将“时、分、秒”计数器的输出状态显示。校时电路是来对“时、分”显示数字进行校对调整。附带功能如整点报时功能能够在时钟接近整点时鸣叫提醒,定时闹钟可以实现时钟在设定时刻鸣叫报时提醒等

2.2 原理框图

图1

3.1 振荡器电路

多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成分。多谐振荡器没有稳态,只有两个暂稳态。在工作时,电路的状态在这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。要求精确的时钟源是通过石英晶体振荡器还有分频器构成,考虑到设计难易成度,本电路采用555定时器(LM555CN)实现多谐振荡,需要R1,R2和电容,并接+5V 的直流电源。

图2

振荡周期:T=0.69(R1+2R2)C

其中当R1=5K,R2=4.7K,C=100uF时,T=0.9936s

3.2秒和分计数电路

“秒”计数器电路与“分”计数器电路都是六十进制,它由一级十进制计数器和一级六进制计数器连接构成,如图3所示,是采用两片中规模集成电路74LS90N串接起来构成的“秒”,“分”计数器。

图3

由图3可知,U1是十进制计数器,U1的QD作为十进制的进位信号,74LS90N 计数器是十进制异步计数器,用反馈清零法来实现十进制计数,U2和与门74LS08N组成六进制计数。74LS90N是在CP信号的下降沿触发下进行计数,U2的QA和QC相与0101的下降沿,作为“分(时)”计数器的输入信号。U2的输出0110高电平1分别送到计数器的R01、R02端清零,74LS90N内部的R01、R02与非后清零而使计数器归零,完成六进制计数。由此可见,U1和U2串接实现了

六十进制计数。分计数器和秒计数器构成情况相同,同为上述电路。

3.3 时计数电路

“时”计数为24进制的,在本设计中24进制的计数电路也是由两个74LS90N组成的二十四进制计数电路,如图4所示。

图4

由图4看出,当“时”个位U5计数器输入端A(14脚)来到第10触发信号时,U5计数器清零,进位端QD向U6“时”十位计数器输入进位信号,当第24个“时”(来自“分”计数器输出的进位信号脉冲到达时U5计数器的状态位“0100”,U6计数器的状态为“0010”,此时“时”个位计数器的QC,和“时”十位计数器的QB输出都为“1”,相与后为“1”。把它们分别送入U5和U6计数器的清零端R01和R02,通过74LS90N内部的与非后清零,计数器复零,从而完成二十四进制计数。

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

简易电子时钟的设计

单片机课程设计报告设计题目:简易电子时钟的设计 院别: 专业班级: 学号:

姓名: 指导教师: 摘要 通过一学期单片机的学习,对其已经有了初步的了解,但是随着社会的不断发展,单片机的应用正在不断地走向深入,它特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集,军工产品以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。我们也借此课程设计的机会,对单片机有更深一步的了解与学习。 本次课程课程设计的目的是设计一个简易的电子时钟,通过一个8位共阴极数码管进行时、分、秒的显示,另外设置7个按键,一个用来调整小时,一个用来调整分钟,一个开关控制是否调整时间。 关键词:AT89C51,数码管,按键,DS1303时钟芯片

1.概述 本设计是锻炼我们的自学能力合作能力,依靠团队的力量去完成一项具体的任务系统的训练了所学知识,设计的过程必将是难忘的,这也将是大学向社会工作过度的一个重要阶段。 本阶段过后要去能够熟练的运用单片机中的计数器、定时器、中断、数码管显示等参考教材或者相关资料,采用C语言实现数字时钟功能,在数码管上实时显示,并运用Protues软件绘制电路原理图,并进行仿真验证和误差分析。 2.系统总体方案设计 2.1系统方案的确定 用6位数码管,可以显示出时、分、秒;用P2端口控制位选,由定时器进行时间的控制(秒);当总按键按下时可以进行时间调整; 2.2方案分析 2.3系统总框图 图2.1

3.系统硬件系统设计 3.1复位电路 单片机复位电路就好比电脑的重启部分,当电脑在使用中出现死机,按下重启按钮电脑内部的程序从头开始执行。单片机也一样,当单片机系统在运行中,受到环境干扰出现程跑飞的时候,按下复位按钮内部的程序自动从头开始执行。 复位电路的工作原理: 在单片机系统中,系统上电启动的时候复位一次,当按键按下的时候系统再次复位,如果释放后再按下,系统还会复位。所以可以通过按键的断开和闭合在运行的系统中控制其复位。单片机复位电路如下图 图3.1 3.2时钟电路 单片机运行需要时钟支持——就像计算机的CPU一样,如果没有时钟电路来产生时钟驱动单片机,那单片机就不能执行程序。 单片机可以看成是在时钟驱动下的时序逻辑电路。 以5l单片机为例随明:51单片机为l2个时钟周期执行一条指令。也就是说单片机运行一条指令,必须要用r2个时钟周期。没有这个时钟,单片机就跑不起来了,也没有办法定时和进行和时间有关的操作。 时钟电路是微型计算机的心脏,它控制着计算机的二个节奏。CPU就是通过复杂的时序电路完成不同的指令功能的。51的时钟信号可以由两种方式产生:一种是内部方式,利用芯片内部的振荡电路,产生时钟信号:另一种为外部方式,时钟信号由外部引入。

数字钟的设计与制作过程

数字钟的设计与制作 一、设计指标 1. 显示时、分、秒。 2. 可以24小时制或12小时制。 3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借 用电路中的时钟。 4. 具有正点报时功能,正点前10秒开始,蜂鸣器1秒响1秒停地响5次。(选做) 5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。 二、设计要求 1. 画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输 路径、方向和频率变化,并以文字对原理作辅助说明。 2. 设计各个功能模块的电路图,加上原理说明。 3. 选择合适的元器件,并选择合适的输入信号和输出方式,在面包板上接线验证、调试各个功能模块的电路。在确 保电路正确性的同时,输入信号和输出方式要便于电路的测试和故障排除。(也可选用Mutisim仿真) 4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求 自行在面包板上装配和调试电路,能根据原理、现象和测量的数据检查和发现问题,并加以解决。 四、设计报告要求 1. 格式要求(见附录1) 2. 内容要求 ①设计指标。 ②画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。 ③列出元器件清单,并画出管脚分配图和芯片引脚图。 ④画出各功能模块的电路图,加上原理说明(如2、5进制到10进制转换,10进制到6进制转换的原理,个位到 十位的进位信号选择和变换等)。 ⑥画出总布局接线图(集成块按实际布局位置画,关键的连接应单独画出,计数器到译码器的数据线、译码器到数 码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称)。 ⑦数字钟的运行结果和使用说明。 ⑧设计总结:设计过程中遇到的问题及解决办法;设计过程中的心得体会;对课程设计的内容、方式等提出建议。 五、仪器与工具 1. 直流电源1台。 2. 四连面包板1块。 3. 数字示波器(每两人1台) 4. 万用表(每班2只)。 5. 镊子1把。 6. 线剥钳1把。 7. 斜口钳1把。

高仿真数码管电子钟课程设计报告

高仿真数码管电子钟 目录 高仿真数码管电子钟 (2) 摘要 (2) 1 引言 (3) 1.1 本系统研究的背景和意义 (3) 1.2 本系统主要研究内容 (3) 2 系统总体设计 (4) 2.1 系统设计方案与论证 (4) 2.11 FPGA设计方案 (4) 2.12 NE555时基电路设计方案 (4) 2.13单片机设计方案 (5) 2.14最终设计方案 (5) 2.2 系统总体结构图 (5) 3 系统硬件设计 (7) 3.1 芯片介绍 (7) 3.11 8051单片机简单介绍 (7) 3.12 74LS138 3-8译码器介绍 (9) 3.2 系统硬件原理图 (11) 3.3复位模块 (11) 3.4按键模块 (12) 3.5显示驱动模块 (13) 4 系统软件设计 (13) 4.1 系统软件总体设计 (13) 4.2 中断子程序 (14) 4.3按键扫描子程序 (15) 5 系统调试 (16) 5.1 硬件调试 (16) 5.2 软件调试 (16) 6 结论 (16) 参考文献 (17) 附录 (18)

高仿真数码管电子钟 摘要 电子时钟主要是利用电子技术将时钟电子化、数字化,拥有时钟精确、体积小、界面友好、可拓展性能强等特点,被广泛应用于生活和工作当中。 本文主要为实现一款可正常显示时间、带有h AM/ 24制调整、带有PM h12 / 显示以及时间校准功能的一款基于单片机仿真的多功能电子钟。 本文对当前的电子钟开发手段进行了比较与分析,最终确定了采用单片机技术实现高仿真电子钟的设计。本设计采用51 AT芯片作为核心,采用外部时钟 89C 脉冲定时,用oteus Pr软件自带的电子钟组件实现高度仿真的显示效果。软件部分主要采用简单且流通性强的C51语言编写实现。这种高度仿真的电子钟具有电路简单,读取方便、显示直观、功能多样、时间精度较高、操作简单、编程容易成本低廉等诸多优点。 本次设计主要是用oteus Pr电路软件实现了高仿真数码管电子钟的仿真。稍加改装,增加部分功能所生产出的实际产品即可应用于一般的生活和工作中,从而给人们的生活和生产带来便利,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 关键词:电子钟、单片机、51 AT、C51 89C

数字钟设计(带仿真和连接图)

- 数字电子技术课程设计报告 题目:数字钟的设计与制作 : 专业:电气本一班 学号:姓名: 指导教师: 时间: - —

一、设计内容 数字钟设计 … 技术指标: (1)时间以24小时为周期; (2能够显示时,分,秒; (3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; (5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. ~ 二、设计时间: 第十五、十六周 三、设计要求: (1)画出设计的电路原理图; $ (2) 选择好元器件及给出参数,在原理图中反应出来; (3)并用仿真软件进行模拟电路工作情况; (4)编写课程报告。

! 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。 译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 } 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词数字钟振荡计数校正报时

智能电子钟设计与制作

小型智能系统设计与制作 学习情境一智能电子钟设计与制作 一、教学引导 学习目标: 1. 通过查阅资料,能分析电子钟的功能与技术要求,确定电子钟的基本结构; 2. 能根据功能与技术要求,进行显示器、键盘、时钟芯片等器件的选用; 3. 能根据小组成员的实际情况,合理分配学习性工作任务,制订实施计划; 4. 会制定任务设计方案及程序设计结构; 5. 会设计显示、键盘、时钟芯片等各种接口电路; 6. 能使用软件设计、仿真电路并进行PCB制作。 7. 能够整理设计文档,编写智能电子钟的使用说明书。 学习内容 1.接受智能电子钟的设计制作任务,阅读任务书 2.收集资料,了解相关知识 3.制订设计方案 4.显示、键盘等接口电路设计和PCB板设计、制作 5.智能电子钟硬件安装与调试 6.智能电子钟软件设计与调试 7.智能电子钟功能、技术指标测试 8.编写智能电子钟的使用说明书 9.文档资料归档 学习任务 1.完成智能电子钟的方案设计 2.完成智能电子钟的设计与制作 3.完成技术文档的编写 4.完成学习过程的自我评价表填写 二、任务分析 学习要求:在这一环节要求学生分组并结合一下引导问题查阅资料,在充分了解智能电子钟的种类以及各种智能电子钟的技术要求的情况下,确定本次设计的智能电子钟的用途,完成任务分析表、填写过程记录表。 1.任务书 任务:设计并制作一款智能电子钟。 基本要求: (1)以24h计时方式工作; (2)用数码管显示时间和日期; (3)通过按键可以选择显示内容、修改时间; (4)具有校时功能; (5)具有整点报时功能; (6)时间误差:≤0.02%。 可选要求: (1)可以设置闹钟时刻; (2)闹钟时刻到后,若不关闭闹铃,可以间隔5分钟闹一次;

数字时钟的Multisim设计与仿真

数字时钟的M u l t i s i m 设计与仿真 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

数字电子技术课程设计 学院:信息工程学院 班级:电气二班 姓名:刘君宇张迪王应博 学号:

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现 基础调研 应用设计、逻辑设计、电路设计 用Multisim 软件验证电路设计 分析电路功能是否符合预期,进行必要的调试修改 撰写Project 报告,提交Multisim 二、总体设计和电路框图 24 分、校时部分。主要由矩形波产生器、秒计数器、分计数器、时计数器、LED 图1. 数字钟电路框图 七段显示数码管、时间校准电路,闹钟电路构成。 五、结论 由脉冲发生器、秒计数器、分计数器、时计数器、LED显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时。 下页附设计感想和分工 整点报时设计体会

刘君宇分工:完成电路设计,整点报时,闹钟,扩展功能) 通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,计数器的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

数字钟的设计与制作

数字钟的设计与制作 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计数字钟的方法。 1 数字钟的基本组成及工作原理 1.1数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图1.1所示为数字钟的一般构成框图。

图1.1 数字钟的组成框图 ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 ⑷译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑸数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。 1.2数字钟的工作原理 1)晶体振荡器电路 晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。 一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,如图1.2所示,从图上可以看出其结构非常简单。该电路广泛使用于各种需要频率稳定及准确的数字电路,如数字钟、电子计算机、数字通信电路等。

数字时钟的设计与仿真

湖北民族学院 课程设计报告 数字时钟设计与仿真 课程:电子线路课程设计 专业:电子信息科学与技术 班级: 0312409 学号: 031240910 学生姓名:谢加龙 指导教师:易金桥 2014年 06月 21日

信息工程学院课程设计任务书 2014-06-21

摘要 基于单片机AT89c51设计而成的简易数字电子时钟,其主要功能皆由对单片机编程即由软件完成,外围硬件电路只包括报时电路、键盘输入电路和显示电路三个模块。具有外围硬件电路简单、运行功能可靠的优点。 关键词:单片机时钟键盘输入显示

目录 1、系统设计要求 (1) 1.1 基本功能 (1) 1.2扩展功能 (1) 2、硬件设计 (2) 2.1系统设计方案选择 (2) 2.2系统原理框图 (2) 2.3各单元的功能描述 (2) 2.4电路连接图 (2) 2.5元器件清单列表 (2) 2.6所用芯片的管脚图 (2) 3、软件设计 (3) 3.1主程序的流程图 (3) 3.2键盘扫描程序流程图 (3) 3.3发声程序流程图 (3) 3.4总程序 (3) 4、调试 (4) 4.1仿真调试 (4) 4.2硬件调试 (4) 5、总结 (5) 参考文献 (6)

1、系统设计要求 1.1 基本功能 (1)、要求准确显示“时”、“分”、“秒”,24 小时制; (2)、具有整点报时功能,在每小时59 分51 秒、53 秒、55 秒、57 秒发出低音,59秒整发出高音; (3)、系统工作符合一般时钟要求。 1.2扩展功能: (1)、具有校时功能,用户可修改“时”、“分”,且互不影响; (2)、可切换12 小时制和24 小时制。

数字钟综合设计与制作

《数字系统与逻辑设计实验》实验报告题目数字钟电路设计与PCB图设计 学院:信息工程学院系电子信息工程 专业: 班级: 学号: 学生姓名: 指导教师:

递交日期:

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合■设计□创新实验日期:2018/1/6 实验成绩:“数字钟电路设计与PCB图设计”实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计; 3、了解电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、3D实物图等。 三、实验原理及电路设计: 1、设计方案与模块框图 利用NE555构成自激多谐振荡器,输出一个频率为1024Hz的脉冲信号。因为数字钟需要的是1Hz的信号,所以需要进行分频处理。这里采用了1024分频,利用三片74LS161分别进行8分频、8分频和16分频,最终得到1Hz的脉冲信号。60秒为1分钟,所以需要一个60进制的计数器。这里还是使用74LS161,通过同步置数进行循环,秒计数每满60向分计数进1,然后自身清零。60分钟为1小时,所以分计数采用的方法和秒计数一样。当分计数和秒计数同时进位时,扬声器发声,即为整点报时。12小时制采用12进制计数器,24小时制采用24进制计数器,两种时制的切换可以通过单刀双掷开关完成。我们在秒进位和分进位处人为地产生一个上升沿,可以完成一次进位,达到校时的目的。时分秒的通过共阴极七段数码管来显示,数码管需要74LS48进行译码。

基于Multisim的数字时钟设计.(精选)

东北大学 课程设计报告 课程设计名称:数字电子技术课程设计 专题题目: 指导教师: 学生姓名:学号: 专业:计算机科学与技术班级: 设计日期: 2017 年7 月 3 日~ 2017 年7 月7日

目录 摘要 (3) Abstract (3) 第1章概述 (4) 1.1设计思路 (4) 1.2主要内容 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5) 2.2 设计要求 (5) 第3章系统设计 (6) 3.1 方案论证 (6) 3.2 系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1数字时钟秒脉冲信号的设计 (8) 3.3.2器件分析 (8) 3.3.3 计数器设计 (9) 3.3.4 计时电路设计 (11) 3.3.5 数字时钟电路设计 (12) 3.3.6 校时电路 (12) 3.3.7 整点报时 (13) 3.3.8 闹钟电路 (14) 第4章仿真调试 (16) 4.1时钟显示 (17) 4.1.1 时钟显示完整的00:00:00 (17) 4.1.2 时钟完整显示01:00:00 (17) 4.1.3 时钟完整显示23:59:59 (18) 4.1.4 仿真开关校准“秒”电路 (18) 4.1.5 仿真开关校准“分”电路 (19) 4.1.6 仿真开关校准“时”电路 (19) 4.2 整点报时 (20) 4.2.1 07:59:50—07:59:59报时 (20) 4.3 闹钟电路 (21) 4.3.1 7:59:00闹钟设定 (21) 第5章结论 (22) 第6章利用Multisim14.0仿真软件设计体会 (23) 参考文献 (23) 第7章收获、体会和建议 (24)

multisim数字钟的设计与仿真

吉首大学 数字时钟的设计与仿真

目录 1.设计要求 2.总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2分频电路 3.3 60进制计数器及显示电路3.4 12进制计数器及显示电路3.5 时间设置电路 4. 电路的测试 5.分析与评价 附录:元器件清单

1.设计要求 本次设计任务是要求用Multisim12.0软件设计一个数字时钟电路,即用数字显示出时间结果。设计要求如下: (a)以数字形式显示时、分、秒。 (b)小时计时采用12进制的计时方式,分、秒采用60进制的计时方式。 (c)要求能够对时钟进行时间设置。 2. 总电路图及工作原理 数字时钟的总电路图如下所示: 数字时钟工作原理:数字时钟电路由555振荡发生器、分频器、两个60进制分秒计数器、一个12进制小时计数器以及6个数字显示器组成。电路工作时由555振荡器产生频率为1000HZ的脉冲,经由三个74LS90D构成的千分频的分频器得到频率为1HZ的脉冲,脉冲输入计数电路(分秒由60进制计数电路计数,小时由12进制计数电路计数),然后将相应数字显示到数字显示器上即所要显示的时间。另外,时钟的时间设置可以通过三个与单刀双掷开关相连的时钟信号发生器来实现。

电路的设计流程图如下所示 3.电路组成介绍 3.1 脉冲形成电路 脉冲形成电路为555计时器组成的振荡电路。考虑到时钟对精度要求较高,故在时钟电路中由555振荡电路产生频率为1KHz的脉冲信号,然后经过千分频的分频器分频产生1Hz脉冲。555振荡器的参数确定:T=0.7(R1+R2)C=1ms,f=1/t=1KHZ,故可令R1=1kΩ,R2=10KΩ,C=0.1uF。(以上设置在实际仿真的时候速度过慢,故在实际仿真中): 脉冲形成电路如下所示

数字时钟的multisim设计与仿真.doc

电子电路Multisim设计和仿真 学院: 专业和班级: 姓名: 学号:

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2. 要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1. 设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 2. 电路框图 图1. 数字钟电路框图 三、子模块具体设计 1. 由555定时器构成的1Hz秒时钟信号发生器。 由下面的电路图产生1Hz的脉冲信号作为总电路的初输入时钟脉冲。

2. 分、秒计时电路及显示部分 在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D 的结构把输出端的0110(十进制为6)用一个与非门74LS00引到CLR 端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法。 显示部分用的是七段数码管和两片译码器74LS48D 。 3. 时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法,u1输出端为0011(十进制为3)与u2输出端0010(十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。 图2. 时钟信号发生电路 图3. 分秒计时电路

电子数字钟的设计与制作

电子数字钟的设计 与制作 学院(系): 专业班级: 姓名: 学号: 指导教师: 设计日期:

《模拟电子技术基础》课程设计任务书 自动化、电气工程及其自动化适用 设计题目(可自选) 八、电子数字钟的设计与制作 要求:(1)带5V稳压电源(电源形式不限); (2)用LED管显示时、分、秒; (3)(选做)增加部分扩展功能(如准点报时、定时闹钟等);

目录 1 附课程任务设计书---------------------------------------------------1 2 系统概述 2.1 数字钟的基本原理------------------------------------------------------3 2.2 原理图--------------------------------------------------------------3 3 单元电路设计与分析 3.1 振荡器电路------------------------------------------------------------------------------4 3.2 秒计数和分计数电路----------------------------------------------------------------------5 3.3 时计数电路-----------------------------------------------------------------6 3.4 显示电路---------------------------------------------------------------------------7 3.5 校时电路------------------------------------------------------------------------------8 3.6整点报时电路-----------------------------------------------------------------------9 3.7 定时闹钟电路--------------------------------------------------------------------10 4 系统电路总图-------------------------------------------- 4.1不带定时闹钟的设计图(手绘)———————————————————— 4.2带定时闹钟的总设计图(打印版)——------------------------------------------- 5 设计总结-------------------------------------------------------------------13 附录(元器件种类及数量)--------------------------------------------------14 参考文献--------------------------------------------------------------------------------------15

多功能数字钟的设计与仿真

目录 1绪论 (1) 2P r o t e u s软件介绍 (2) 3数字钟的原理框图 (4) 4电路的设计 (5) 4.1主体电路的设计 (5) 4.1.1秒脉冲电路的设计 (5) 4.1.2计时及状态转换功能的实现 (6) 4.1.3译码与显示电路的设计 (8) 4.1.4校时电路的设计 (10) 4.1.5主体电路图 (11) 4.2功能扩展电路的设计 (12) 4.2.1定时控制电路的设计 (12) 4.2.2整点报时电路的设计 (15) 4.3整体电路的设计 (17) 5整体电路的仿真 (19) 6电路功能测试以及常见问题解决本法 (20) 6.1电路功能测试 (20) 6.2常见问题解决办法..............................................................................2 0 7元件清单 (21) 8心得体会 (22) 9参考文献 (23)

多功能数字钟的设计 1.绪论 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,并且可以实现更多的功能,如:定时控制、整点报时、闹钟、触摸报整点时数等,在现实生活中,各种数字钟已得到了非常广泛的使用。数字钟的设计方法有许多种,例如,可用中小规模集成电路组成数字钟,也还可以利用单片机来实现数字钟等。这些方法都各有其特点,其中利用中小规模集成电路组建数字钟,原理简单,但由于集成电路集成度有限,对于需要实现较多功能的电路设计比较复杂,对于制作者焊接和布线有较高的要求。用单片机实现的电子钟具有结构简单,并便于功能的扩展,但需要涉及到汇编以及C语言编写程序,对设计者有较高的要求。本次设计为用中小规模集成电路组成数字钟。

基于单片机的数字时钟加闹钟的设计与制作

题目基于单片机的数字时钟加闹钟的设计与制作 1绪论 (2) 1.1引言 (2) 1.2研究目的 (2) 1.3系统基本方案选择和论证 (2) 1.3.1单片机芯片的选择方案和论证: (2) 1.3.2显示模块选择方案和论证: (2) 1.3.3时钟芯片的选择方案和论证: (2) 1.3.4电路设计最终方案确定 (3) 1.4硬件系统框图 (3) 2 主要元件介绍 (4) 2.1STC89C52以及最小系统介绍 (4) 2.1.1 ST89C52单片机: (4) 2.1.2 计时芯片DS1302: (5) 2.1.3字符液晶显示屏LCD1602资料: (6) 2.1.4 电源模块: (8) 3. 硬件电路连接图: (8) 3.1单片机的连接图 (8) 3.2DS1302计时模块 (9) 3.3LCD1602液晶显示模块 (9) 3.4按键模块 (9) 4. 系统的软件设计: (10) 4.1软件设计流程图: (10) 5. 系统的调试: (11) 6. 总结: (12) 参考文献 (13) 附录一: (14) 附录二: (15) 附录三: (29)

嵌入式综合课程设计III (基于单片机的数字时钟加闹钟的设计与制作) 任务提出: 随着单片机技术的飞速发展,在其推动下,现代的电子产品几乎渗透到了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能 进一步提高。 时间就是金钱、时间就是生命、时间就是胜利……,准确的掌握时间和分配时间对人们来说至关重要,时钟是我们生活中必不可少的工具。电子钟的设计方法有很多种,但是基于单片机并通过LCD显示的电子时钟具有编程灵活、精确度高、便于携带、显示直观等特点。利用STC89C52单片机对DS1302时钟芯片进行读写操作并通过LCD1602字符液晶显示实时时钟信息,这样便构成了一个单片机电子时钟。 设计要求: 利用单片机作为核心控制器件,制作一个数字时钟。要求其具有如下功能: ①具有年、月、日、星期、时、分、秒显示功能; ②具备年、月、日、星期、时、分、秒校准功能; ③具有闹钟显示、调节设定、鸣叫功能; ④计时器模块能够准确计时; ⑤显示模块能够清晰、稳定显示,不出现乱码; ⑥输入模块能够正确输入指令; ⑦控制模块能够正确控制输入、输出显示; ⑧整个系统能够正常、稳定工作。

数字钟的设计与制作

电子技术课程设计报告题目数字钟的设计与制作 专业班级:自动化01班 姓名: 指导教师: 2011年1月7日 数字钟课程设计任务书

数字钟是一种用数字显示秒、分、时的计时装置,与以往的机械式计时相比,它具有走时准、显示直观、无机械传动装置等优点,因而得到了广泛的应用;小到人们日常生活中的电子手表,大到车船、码头、机场等公共场所的大型数显电子钟。多功能数字钟由以下几部分组成:555定时器组成的多谐振荡器构成秒脉冲发生器;校正电路;六十进制的秒、分计数器和十二进制的时计数器;秒、分、时的数码显示部分;报时电路等。 具体要求如下:钟是一种用数字电路技术实现时、分、秒计时的装置。通过数字钟的制作进一步了解了中下规模集成电路。 设计指示: 1、时间以12小时为一个周期; 2、显示时、分、秒; 3、具有校时功能,可以分别对时、分进行单独校时,使其校正 到标准时间; 4、计时过程具有报时功能,当时间到达正点前十秒进行蜂鸣报 时; 5、用555多振荡器提供表针时间基准信号。 设计要求: 1、画出电路原理图(或仿真电路图); 3、电路仿真; 2、元器件及参数选择; 4、接线及调试; 目录

一、设计任务与要求。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。4 二、总体框图。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。5 三、选择器件。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。8 四、功能模块。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。21 五、总体设计电路。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。26 六、设计体会。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。28 一、设计要求及任务

数字电子钟的设计与仿真

数字电子钟的设计与仿真 Digital electronic clock design and simulation

摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:单片机LED显示 Abstract At the end of the twentieth Century, electronic technology has obtained the rapid development, under its impetus, the modern electronic products almost permeated each domains of the society, effectively promoted the development of social productivity and the improvement of social information-based degree, but also makes the modern electronic products to further improve the performance, product upgrading has become increasingly fast pace. Modern life of people more and more attention to the concept of time, can be said to be the time and money is a sign. For

数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

(3)系统组成。 1.秒发生器:由555芯片和RC 组成的多谐振荡器,其555 上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 译码器 译码器 译码器 时计数器 分计数器 秒计数器 校时电路 振荡器 分频器 系统方框 图1

数字钟电路设计与制作实验报告

数字钟电路设计与制作实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计 3、学习电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、制板及实物制作 三、实验原理及电路设计: 1、设计方案与模块框图 利用74LS161和74LS00 ,555,数码管,开关来设计24小时数字时钟,构造它们主要实现时钟的显示,以及对时、分、秒进行调整,即实现调时的功能。其数字钟系统整体结构 ①74LS161和74LS00计数器:用来设计24小时

②开关与74LS00结合:用来校时,校分,校秒。 ③利用555振荡器:产生脉冲信号 ④数码管:用来显示时分秒。

2、各子模块电路设计及原理说明 74LS161 :十六进制的计数器,当秒到60时要进位当分上利用74LS161与74LS00的结合,当秒、分到60时对其进行清零,进位。当时24时,对其进行清零。当时分秒个位到9时,对其本位(时分秒)清零和进位。 74LS00 与开关:74LS00与开关的结合,以此来控制校对。 555振荡器:利用555设计一个振荡器产生一个脉冲信号,以此来控制信号的进行与停止、时间的校对。 数码管:显示时分秒。 3、仿真图及仿真方法说明 连好图,按一下仿真键,

①若能仿真且准确无误,会出现24小时的显示则成功了。 ②若不能仿真,数码管不会显示出来示数,或者显示紊乱,则失败,检查电路是否正确,有没有连错,少连错连,不断地改正,不断改进,直到可以仿真,可以显示无错。 ③对校时、校分、校秒:按一下开关,脉冲过来就可以,增加一个数,依次按键对其进行时分秒校对。 四、主要实验元件及器材清单:

数字钟的制作和设计

多功能数字钟电路的设计与制作 摘要:电子数字钟的应用十分广泛,通过计时精度很高的石英晶振(也可采用卫星传递的时钟标准信号),采用相应进制的计数器,转化为二进制数,经过译码和显示电路准确地将时间“时”“分”“秒”用数字的方式显示出来。与传统的机械钟相比,它具有走时准确,显示直观,无机械传动,无需人的经常调整等优点。它广泛用于电子表、车站、码头、广场等公共场所的大型远距离时间显示电子钟。 【关键词】: 电子钟 秒脉冲 分频器 计数器 译码 驱动 振荡器 整点报时 调试 制作 电子数字钟的应用十分广泛,通过计时精度很高的石英晶振(也可采用卫星传递的时钟标准信号),采用相应进制的计数器,转化为二进制数,经过译码和显示电路准确地将时间“时”“分”“秒”用数字的方式显示出来。与传统的机械钟相比,它具有走时准确,显示直观,无机械传动,无需人经常调整等优点。它广泛用于电子表、车站、码头、广场等公共场所的大型远距离时间显示电子钟。 一.数字钟电路设计思路 图1是数字钟的原理框图 图1 原理框图 时 时计数器 二十四进制 分计数器 六十进制 秒计数器 六十进制 报 时 电 路 较 时 电 路 秒脉冲 发生器 分 秒

二:各单元电路的设计方法 1: 秒信号发生电路 这是数字钟的关键电路,它直接影响到数字钟的走时准确。根据计时的精度确定石英晶振的频率,一般采用32768H Z 的石英晶体振荡器通过15次的分频(15级二分频,因215=32768)来获得秒脉冲的信号,作为计时的基本单位。石英晶振的误差很小,一般可达10-9数量级。如果需要更高精度的可以选用更高的晶体振荡器。经过更多级的分频,可得到更加精确的秒信号,一年中的误差不超过一秒。我们选用CD4060作为秒脉冲发生电路的主要器件,它是14级的二进制计数器/分频器/振荡器。如图2所示,C1,C2,晶振,R4,CD4060等器件构成32768H Z 振荡器。CD4060的9脚10脚11脚内含两个非门电路,16脚为电源,8脚接地,1脚输出12分频信号,2脚输出13分频信号,3脚输出14分频信号,图中的R4是反馈电阻,可使内部的非门电阻工作在线性放大区,C2是微调电容,可改变振荡频率,以保证精确度。12脚为复位功能,当为高电平1时,Q1~Q14输出为0,R 为低电平0时,内部计数器对9脚的脉冲进行计数且右脉冲的下降沿进行翻转。从3脚输出的为32768的第14级二分频,即为2H Z ,经74LS74(D 触发器)再作二分频,从而得到秒脉冲(1H Z 信号)。 为止, 图2 秒信号发生电路 Q 晶振 32768H Z C1 +V DD +5V C2 3-20P R4 +5V 16 15 14 13 12 11 10 9 Q 10 Q 8 Q 9 R CP 1 CP 0 CP 0 Q 12 Q 13 Q 14 Q 6 Q 5 Q 7 Q 4 V SS 1 2 3 4 5 6 7 8 74LS74 1C 1/4 5 1D 6 7 Q 1H Z 74LS74

相关主题