搜档网
当前位置:搜档网 › 数字电路教学大纲(通信)

数字电路教学大纲(通信)

数字电路教学大纲(通信)

《数字逻辑电路设计》教学大纲

课程名称:数字逻辑电路设计

课程性质:专业基础课

学分:4.5

总学时:80,其中,理论学时:64,实验(上机)学时:16

适用专业:通信工程

先修课程:大学物理,电路

一、教学目的与要求

本课程是通信工程专业的必修的技术基础课程。

通过本课程的学习,使学生了解数字系统的基本概念,掌握数字系统的工作原理及设计方法。

二、课程的基本内容及要求

三、各章节主要知识点与教学要求

第一章数字逻辑概论( 3 学时)

第一节数字电路与数字信号

第二节数制

第三节二进制数的算术运算

第四节二进制代码

第五节二值逻辑变量与基本逻辑运算

第六节逻辑函数及其表示方法

本章重点:计算机中常用的数制及数制之间的转换;

计算机中常用的码制及码制之间的转换。

基本逻辑运算

本章难点:二进制,十进制,八进制之间的转换;

加权码和无权码的表示方法。

基本逻辑运算

本章教学要求:掌握计算机中常用的数制及数制之间的转换;掌握原码、反码、补码的概念。十进制数的编码及可靠性编码。掌握基本逻辑运算

第二章逻辑代数与硬件描述语言基础( 7 学时)

第一节逻辑代数

第二节逻辑函数的卡诺图化简法

第三节硬件描述语言Verilog HDL基础

本章重点:逻辑代数的基本公式、基本定理、基本规则;

逻辑函数的代数化简方法;

逻辑函数的卡诺图化简方法。

本章难点:逻辑函数的基本定理应用;

逻辑函数的卡诺图化简方法的使用。

本章教学要求:掌握逻辑代数的基本公式、基本定理、基本规则掌握逻辑函数的化简方法。

第三章逻辑门电路( 3 学时)

第一节MOS逻辑门电路

第二节TTL逻辑门电路

第三节射极耦合逻辑门电路

第四节砷化镓逻辑门电路

第五节逻辑描述中的几个问题

第六节逻辑门电路使用中的几个实际问题

第七节用Verilog HDL描述逻辑门电路

本章实验:集成门电路实验;(2学时)

本章重点:基本逻辑门电路的基本原理及特性;

三态门和集电极开路逻辑门的工作状态和原理。

本章难点:基本逻辑门电路的基本原理及特性;

三态门和集电极开路逻辑门的工作状态和原理。

本章教学要求:了解CMOS集成门电路基本结构及工作原理、TTL电路与CMOS电路的接口等其它接口电路

掌握三态门和集电极开路逻辑门的工作状态和原理。

第四章组合逻辑电路( 15学时)

第一节组合逻辑电路分析

第二节组合逻辑电路的设计

第三节组合逻辑电路中的竞争冒险

第四节若干典型的组合逻辑集成电路

第五节组合可编程逻辑器件

第六节用Verilog HDL描述组合逻辑电路

本章实验:组合逻辑电路的设计;(2学时)

译码器应用;(2学时)

数据选择器应用;(2学时)

加法器应用。(2学时)

本章重点:组合逻辑电路分析的一般方法及组合逻辑电路的设计方法;

常用组合逻辑电路的原理及功能;

函数的组合逻辑电路实现方法。

本章难点:组合逻辑电路分析及设计方法;

函数的组合逻辑电路实现方法。

本章教学要求:掌握组合逻辑电路分析的一般方法及组合逻辑电路的一般设计方法;

理解组合逻辑电路设计中应考虑的问题;

掌握常见的基本组合逻辑电路的设计方法;

理解组合电路中的竞争与险象。

第五章锁存器和触发器( 8 学时)

第一节双稳态存储单元电路

第二节锁存器

第三节触发器的电路结构和工作原理

第四节触发器的逻辑功能

第五节用Verilog HDL描述锁存器和触发器

本章实验:触发器功能验证;(2学时)

本章重点:RS触发器的工作原理及特性分析;

JK触发器的工作原理及特性分析;

D触发器的工作原理及特性分析;

T触发器的工作原理及特性分析;

不同类型触发器之间的转换方法。

本章难点:不同类型触发器的功能分析和特性描述;

不同类型触发器之间的转换方法。

本章教学要求:掌握RS触发器、JK触发器、D触发器、T触发器的工作原理;

了解不同类型触发器的转换方法。

第六章时序逻辑电路( 15 学时)

第一节时序逻辑电路的基本概念

第二节同步时序逻辑电路的分析

第三节同步时序逻辑电路的设计

第四节异步时序逻辑电路的分析

第五节若干典型的时序逻辑集成电路

第六节用Verilog HDL描述时序逻辑电路

第七节时序可编程逻辑器件

本章实验:集成电路计数器、译码和显示。(2学时)

本章重点:同步及异步时序逻辑电路的分析方法;

同步时序逻辑电路的设计方法。

寄存器与移位寄存器的工作原理;

异步N进制计数器的实现方法;

同步N进制计数器的实现方法;

任意进制集成计数器的实现。

本章难点:时序逻辑电路的功能分析及状态转换过程;

异步和同步N进制计数器的实现方法;

用给定集成计数器芯片实现任意进制计数器的方法。

本章教学要求:理解时序逻辑电路的结构与类型

掌握同步时序逻辑电路的分析方法;

掌握同步时序逻辑电路的设计的方法;

理解常用同步时序逻辑电路;

掌握用给定集成计数器芯片实现任意进制计数器的方法。第七章存储器、复杂可编程器件和现场可编程门阵列(2学时)第一节只读存储器

第二节随机存取存储器

第三节复杂可编程逻辑器件

第四节现场可编程门阵列

第五节用EDA技术和可编程器件的设计例题

本章重点:存储器的分类与性能指标的相关概念;

存储器的扩展方法;

可编程阵列逻辑的工作原理。

本章难点:用可编程阵列逻辑实现逻辑函数的方法;

存储器的扩展方法。

本章教学要求:了解存储器的分类与性能指标的相关概念;

了解快闪存储器的特点;

掌握存储器的扩展方法;

掌握可编程阵列逻辑;

掌握CPLD和FPGA及其系统编程技术。

第八章脉冲波形的变换与产生( 4 学时)

第一节单稳态触发器

第二节施密特触发器

第三节多谐振荡器

第四节555定时器及其应用

本章实验:555定时器应用实验。(2学时)

本章重点:多谐振荡器、单稳态触发器和施密特触发器的功能特点;

555定时器的工作原理及其应用。

本章难点:多谐振荡器、单稳态触发器和施密特触发器的功能特点分析;

用555定时器的构成不同类型振荡器的方法。

本章教学要求:了解多谐振荡器、单稳态触发器和施密特触发器的概念;

掌握多谐振荡器的工作原理及秒脉冲信号产生电路的构成方法;

掌握单稳态触发器的工作原理;

掌握施密特触发器的工作原理及构成多谐振荡器的构成方法;

第九章数模转换器和模数转换器( 2 学时)

第一节 D/A转换器

第二节 A/D转换器

本章重点:D/A转换器的工作原理;

A/D转换器的实现方法。

本章难点:D/A和A/D转换量之间的计算。

本章教学要求:了解D/A转换器和A/D转换器的概念和实例;

掌握D/A转换器的原理;

掌握A/D转换器的实现方法及种类。

四、成绩考核方式

本课程为考试课程,采用闭卷考试形式;作业及考勤成绩所占比例为10%、实验成绩所占比例为20%;期末考试成绩所占比例为70%。

五、教材与参考资料

教材:

《电子技术基础数字部分》,康华光主编,高等教育出版社,2006.1

参考资料:

1、《数字逻辑电路设计》,鲍可进、赵念强等,清华大学出版社,2003

2、《数字逻辑设计与VHDL描述》,徐惠民、安德宁,北京:机械工业出版社2002.4

执笔人:袁静

审定人:马英辉

数字电路课程教学大纲

数字电路课程教学大纲 一、课程说明 (一)课程名称、所属专业、课程性质、学分; 课程名称:数字电路 所属专业:微电子学 课程性质:必修 学分:4 (二)课程简介、目标与任务; 课程简介:数字电路课程是入门性质的基础课 教学目的:使学生掌握数字电子技术最基本的基础知识,为今后进一步深入学习电子技术新发展和将所学知识用于本专业打下基础。 数字电路课程的主要特点:由于数字电子技术的应用领域极其广阔,具体的应用电路更是层出不穷,所以教学的重点始终应该放在数字电路的基本概念、基本原理、基本的分析方法和设计方法以及常用电子器件的使用方法上。 对于各种数字电子电路器件,在教学中主要是这些器件的基本设计方法和应用,而不是这些器件本身的设计和制造工艺。教学重点是这些器件的外特性(包括逻辑功能和电器特性)及其应用上。 数字电路课程在微电子专业二年级第二学期开设。课堂教学(其中包括课堂讲授、习题课、讨论课等)为每周4学时(总学时72学时)。由于课程内容多,涉及面很广,讲授时基本概念、基本原理、、基本的分析方法和设计方法作为学生必须掌握的重点内容。对于当代电子技术发展的前沿,可以简单介绍或者以学生自学为主,以扩大视野,激发学习兴趣,提高自学能力。 (三)先修课程要求,与先修课与后续相关课程之间的逻辑关系和内容衔接; 先修课程:电路分析(电磁学中的相关部分)模拟电子电路;后续相关课程:集成电路分析与设计等相关课程 (四)教材与主要参考书。 教材:面向21世纪课程教材《数字电路》第五版清华大学电子学教研组编闫石

主编高等教育出版社 参考书:《电子技术基础》数字电路部分华中理工电子学教研组编 二、课程内容与安排(括号内为学时安排参考) 本课程共72学时,讲授8章。各章节的学时分配如下。 第一章数制和码制(4学时) 1.1概述 1.2几种常用的数制 1.3不同数制间的转换 1.4二进制算术运算1.4.1二进制算术运算的特点1.4.2反码、补码和补码运算1.5几种常用 的编码 第二章逻辑代数基础(10学时) 2.1概述 2.2逻辑代数中的三种基本运算 2.3逻辑代数的基本公式和常用公式2. 3.1基本公式2.3.2若干常用公式 2.4逻辑代数的基本定理2.4.1代入定理2.4.1反演定理2.4.3对偶定理 2.5逻辑函数及其表示方法2.5.1逻辑函数2.5.2逻辑函数的表示方法5.3逻辑函数的 两种标准形式2.5.4逻辑函数形式的变换 2.6逻辑函数的化简方法2.6.1公式化简法2.6.2卡诺图化简法 2.7具有无关项的逻辑函数及其化简2.7.1约束项、任意项和逻辑函数式中的无关 项2.7.2无关项在化简逻辑函数中的应用 第三章门电路(12学时) 3.1概述 3.2半导体二极管门电路3.2.1半导体二极管的开关特性3.2.1二极管与门3.2.3二极管 或门 3.3CMOS门电路3.3.1MOS管的开关特性3.3.2CMOS反相器的电路结构和工作原理 3.3.3CMOS反相器的静态输入特性和输出特性3.3.4CMOS反相器的动态特性 3.3.5其他类型的CMOS门电路3.3.6CMOS2电路的正确使用3.3.7CMOS数字集 成电路的各种系列 3.4其它类型的MOS集成电路(略) 3.5TTL门电路3.5.1双极型三极管的开关特性3.5.2TTL反相器的电路结构和工作原理 3.5.3TTL反相器的静态输入特性和输出特性3.5.4TTL反相器的动态特性3.5.5 其他类型的TTL门电路3.5.6TTL数字集成电路的各种系列 第四章组合逻辑电路(8学时) 4.1概述 4.2组合逻辑电路的分析方法和设计方法4.2.1组合逻辑电路的分析方法4.2.2组合逻辑电路的 设计方法 4.3若干常用的组合逻辑电路4.3.1编码器4.3.2译码器4.3.3数据选择器4.3.4

数字电路与逻辑设计教学大纲

《数字电路与逻辑设计》教学大纲 适用专业:通信工程、信息工程、自动化、测控技术与仪器、电气工程及其自动化 课程类别:专业基础课 先修课程:电路原理 总学时:66 学分:3 考核方式:考试 一、课程的性质与任务 本课程是信息工程、通信工程、自动化、测控技术与仪器和电气工程及其自动化专业学生必修的技术基础课程,是一门实践性很强的课程。通过本课程的学习,使学生掌握数字逻辑和数字系统的基础知识、基本分析方法和设计方法,培养使用标准逻辑器件的能力,初步了解可编程器件的知识,为深入学习后续课程和从事数字技术实际工作打下良好基础。 二、课程内容、基本要求与学时分配 1、绪论(2学时) 了解数字信号与模拟信号的定义与区别; 掌握各种数制间的转换; 了解常用的各种码制; 了解数字电路的分类; 2、逻辑函数及其化简(6学时) 掌握布尔代数的运算规则; 掌握逻辑变量与逻辑函数的表示方法; 掌握逻辑函数的公式法化简法; 掌握卡诺图的绘制方法和用图解法化简逻辑函数; 3、集成逻辑门(6学时) 了解晶体管的开关特性; 了解TTL集成逻辑门的外部特性; 了解CMOS集成逻辑门的外部特性; 4、组合逻辑电路(8学时) 掌握组合逻辑电路的分析方法; 掌握用逻辑门电路设计组合逻辑电路的方法; 掌握用中规模集成电路设计组合逻辑电路的方法; 了解组合逻辑电路的冒险现象; 5、触发器(8学时) 掌握各类触发器的特征方程和功能描述方法; 掌握基本触发器和钟控触发器的工作原理; 了解主从触发器和边沿触发器的工作原理; 6、时序逻辑电路(8学时) 掌握同步、异步时序逻辑电路的分析方法; 了解常用集成时序逻辑器件的使用方法; 掌握用小规模IC器件和中规模IC器件设计同步时序逻辑电路的方法; 了解异步时序逻辑电路的设计方法。

《数字电子技术》教学大纲

《数字电子技术》教学大纲 一、课程的性质与任务 课程性质:本课程是电子信息工程、通信工程专业本科学生的学科基础必修课。 课程任务:《数字电子技术》课程是电子信息工程、通信工程专业必修的一门学科基础课,该课程的教学目的是使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路初步解决数字逻辑问题的能力,为以后学习微机原理、单片机原理等后续课程的学习以及从事数字电子技术领域的工作打下扎实的基础。 二、课程的基本内容及要求 (一)逻辑代数基础知识 1.课程教学内容 (1)概述(包含数制,补充码制) (2)逻辑代数的基本概念、公式和定理 (3)逻辑涵数的公式化简法 (4)逻辑函数的表示方法及其相互转换 2.课程重点难点 重点: 数制与码制的表示方法;三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换;基本公式和基本定律;三个重要规则;常见的逻辑式;用并项法、吸收法、消去法、配项法对逻辑函数进行化简;用卡诺图表示逻辑函数;用卡诺图化简逻辑函数; 难点:二、八、十六进制的转换;将真值表转换为逻辑式。吸收律和摩根定律;代入规则;运用代数化简法对逻辑函数进行化简。用卡诺图化简逻辑函数以及具有无关项的逻辑函数的化简。 3.课程教学要求 (1)掌握二、八、十、十六进制的表示方法及相互转换; (2)熟练掌握基本逻辑运算和几种常用复合导出逻辑运算; (3)熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 (4)理解并掌握逻辑代数的基本公式、基本定律和三个重要规则。 (5)掌握代数化简的几种基本方法并能熟练运用。 (6)熟练运用卡诺图化简逻辑函数。 (二)门电路 1.课程教学内容 (1)二极管、三极管和MOS管的开关特性; (2)分立元件门电路。 (3)CMOS集成门电路。 (4)TTL集成门电路。 2.课程重点难点

电子信息与科学技术专业 数字电路(理论)课程教学大纲

电子信息与科学技术专业数字电路(理论)课程教学大纲一、课程基本信息 课程代码:92205103 课程中文名称:数字电路 课程英文名称:Digita1CirCUitS 课程性质:必修课 使用专业:电子信息与科学技术专业 开课学期:第四学期 总学时:72学时 总学分:4学分 预修课程:电路分析、模拟电路 课程简介 本课程是电子信息与科学技术专业的重要主干课。《数字电路》是继《电路分析》《模拟电路》课程后,电子信息与科学技术、计算机、教育技术及物理学等专业学生在数字电子技术方面入门性质的技术基础课,是电子技术基础的一个部分,其目的和任务是让学生获得数字电路的基本知识,为以后深入学习《微机原理及其应用》《单片机原理及接口技术》《数字系统设计》等课程的内容打下基础。 教材建议 康华光、陈大钦、张林编《电子技术基础数字部分》第五版,高等教育出版社,时间:2006年1月 参考书 [1]康华光、陈大钦、张林编《电子技术基础数字部分》(第四版),康华光主编,高等教育出版社 [2]阎石主编《数字电子技术基础》(第四版),高等教育出版社 二、课程性质、目的及总体教学要求 课程的基本特性: 《数字电路》是电子信息与科学技术专业学生一门重要的基础课,在人才培养方案中列为必修课程和学位课程,是学生在数字电子技术方面入门性质的技术基础课。本课程教学要求学生比较系统地掌握数字电路基础知识,并能较灵活地加以应用,为进一步学习后继课程打下良好的基础。 课程的教学目的: 本门课程的教学目的是让学生获得数字电路的基本知识,并能较灵活地加以应用,为以后深入学习《微机原理

及其应用》《单片机原理及接口技术》《数字信号处理》等课程的内容打下基础。 课程的总体教学要求 通过本门课程的学习,使学生获得数字电路的基本理论、基本知识和基本技能,培养学生分析和设计数字电路的能力,为数字电子技术在专业中的应用打好基础。 1.通过本门课程的学习,使学生获得数字电路的基本理论、基本知识和基本技能,培养学生分析和设计数字电 路的能力。 2.通过对典型数字电路系统分析,认识其功能并了解其在实际中的应用,从而培养其对研究与设计数字电路的 兴趣。 3.初步培养学生的辨证唯物主义世界观。 4.教师在教学中应注意本课程与电路分析、模拟电路的联系与衔接。 三、章节内容、学时分配及教学要求 (注:打*号部分课堂上不讲,不作普遍要求,学生可根据自己情况选读) 第一章数字逻辑基础(4课时) 1.绪论 2.数制 3.二进制码 4.基本的逻辑运算 要求: (1)了解:数字信号与模拟信号:数字电路的含义;数字电路研究对象;特点与应用;除8421码外常用二-十进制码。 (2)理解:逻辑代数中的三种基本运算;逻辑与、或、非及其含义;逻辑函数及其表示方法、基本逻辑运算、逻辑函数的相等。 ⑶掌握:二、十六进制及其与十进制的相互转换;8421二•十进制码。 第二章逻辑门电路(6课时) 1晶体二/三极管开关特性 2.TT1与非门 3.MOS门电路 要求: (1)了解:除TT1与非门电路以外的其它电路结构及工作原理;TT1门电路产品型号知识;CMOS电路产品型号知识;TT1与CMOS电路的使用知识及相互连接;OC门、TS1门的逻辑功能和应用。 ⑵理解:TT1与非门电路电路结构及工作原理(三态、OC):分立元件逻辑门电路(与门、或门、非门)。 TT1与非门电路的电压传输特性、输入/输出特性、主要参数的测量方法。 ⑶掌握:TT1和CMOS与非门的逻辑功能、特性、参数和使用方法。 第三章合逻辑电路的分析与设计(10课时) 1.逻辑代数 2.逻辑函数的卡若图化简法 3.组合逻辑电路的分析 4.逻辑电路的设计 5.组合逻辑电路中的竞争冒险 要求: (1)了解:了解卡诺图的性质与运算。 ⑵理解:组合逻辑电路的组成和输入输出特性;组合逻辑电路的竞争一冒险现象;产生竞争一冒险的原因,检查与消除竞争一冒险的方法。 (3)掌握:逻辑代数的基本定理,定律和运算方法。逻辑函数公式化简和卡诺图化简的方法。组合逻辑电路的分析和设方法。 第四章逻辑功能器件(8课时)

数字电路课程教学大纲

《数字电路》课程教学大纲 课程编码:21110160 总学时:讲授/理论48学时,实验18学时 适用专业:通信工程 先修课程:高等数学、大学物理、电路分析、模拟电子线路 一、本课程地位、性质和任务 《数字电路》是通信工程专业的主干课程,是一门重要的专业技术基础课。《数字电路》与《模拟电子线路》一起,为理解现代电路结构、通信电子线路等硬件电路结构打下良好的基础。它不仅为《计算机组成原理与汇编程序设计》、《微机接口技术》、《计算机系统结构》、《数据通信与计算机网络》等后续课程提供必要的基础知识,而且是一门理论与实践结合密切的硬件电路基础课程。 二、课程教学的基本要求 本课程是通信工程专业的一门重要的专业基础课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路,初步解决数字逻辑问题的能力,为学习计算机硬件、通信电子线路打下扎实的基础。 三、课程学时分配、教学要求及主要内容 (一) 课程学时分配一览表 章节主要 内容 总 学 时 学时分配 讲 授 讨 论 习 题 实 验 其 他 第1章数字电路基础、各种数值及其之间的转 化;原码、反码、补码之间的关系;信 息编码。 4 4 第2章逻辑代数与逻辑函数:逻辑代数的公式、 4 4

规则;逻辑函数的几种表示方法及其相 互转化;逻辑函数的化简。 6 6 第3章TTL和MOS集成门电路的功能和电气 特性;各类常用基本门电路的逻辑符号 和逻辑功能;正逻辑与负逻辑。 8 8 第4章组合逻辑电路的分析和设计方法;常用 组合逻辑器件的原理和使用方法(编码 器、译码器、加法器、数据比较器、数 据选择器等);竞争和冒险。 6 6 第5章RS、JK、D、T触发器的工作原理及其 逻辑符号、触发方式及功能;以及各触 发器之间的相互转换关系、触发器的次 态方程。 8 8 第6章时序逻辑电路的分析和设计方法;常用 的时序逻辑器件的原理和使用(计数器、 寄存器、移位寄存器、脉冲发生器等) 4 4 第7章可编程逻辑器件的描述方法;CPLD、 FPGA的基本概念和原理,半导体存储 器(ROM和RAM)的电路结构和工作 原理。 4 4 第8章数/模、模/数转换电路的基本原理和典型 器件的使用。 4 4 第9章数字电路CAD技术及数字系统的设计: 数字电路EDA设计的方法;数字系统的 设计步骤及设计思想;数字系统设计举 例。 (二) 课程教学要求及主要内容

数字电子技术基础教学大纲

数字电子技术基础教学大纲 数字电子技术基础教学大纲 数字电子技术是现代电子科学与技术的基础,也是电子信息工程专业学生必须掌握的重要知识。为了规范数字电子技术的教学内容和教学目标,制定一份科学合理的数字电子技术基础教学大纲是非常必要的。 一、引言 数字电子技术是指利用数字信号进行信息的处理、传输和存储的技术。随着计算机和通信技术的迅猛发展,数字电子技术的应用范围越来越广泛。因此,培养学生掌握数字电子技术的基本理论和实践能力,对他们未来的学习和工作具有重要意义。 二、教学目标 1.了解数字电子技术的基本概念和发展历程; 2.掌握数字电路的基本原理和设计方法; 3.能够运用数字电子技术解决实际问题; 4.培养学生的创新思维和实践能力。 三、教学内容 1.数字电子技术的基本概念 1.1 数字信号与模拟信号的区别 1.2 二进制数系统及其表示方法 1.3 逻辑代数与布尔运算 1.4 数字电路的分类和特点 2.数字电路的基本原理

2.1 基本逻辑门电路的原理和特性 2.2 组合逻辑电路的设计与实现 2.3 时序逻辑电路的设计与实现 2.4 存储器的原理和应用 3.数字电路的设计方法 3.1 简化逻辑函数的方法 3.2 组合逻辑电路的设计步骤 3.3 时序逻辑电路的设计步骤 3.4 数字系统的设计与实现 4.数字电子技术的应用 4.1 数字信号处理技术 4.2 数字通信技术 4.3 数字电子系统的设计与实现 4.4 FPGA技术在数字电子技术中的应用 四、教学方法 1.理论教学与实践相结合,注重理论与实践的结合; 2.采用案例分析、实验演示等形式,激发学生的学习兴趣; 3.鼓励学生进行课程设计和实践项目,提高他们的实践能力; 4.引导学生运用数字电子技术解决实际问题,培养他们的创新思维。 五、教学评价 1.考试评价:通过闭卷考试,检测学生对数字电子技术的理论知识掌握情况; 2.实验评价:通过实验报告和实验成绩,评价学生的实验能力;

《数字电路课程设计》课程教学大纲

《数字电路课程设计》教学大纲 课程英文名称:Digital Circuits Curriculum Design 课程编号:0700510学时:16学分:1 一、课程教学对象 本大纲适用于通信工程、通信工程( 物联网工程方向)、电子信息工程、自动化、交通工程(轨道交通自动化方向)、电气工程及其自动化、交通工程(轨道交通电气化方向)、交通工程(交通控制与管理方向)、交通工程(轨道交通营运管理方向)等本科专业学生。 二、课程性质、目的和任务 1. 课程的性质、目的 “数字课程设计”是信息工程学院相关本科专业的一门技术基础课,是信息类专业本科生实践教学的一个重要组成部分。该课程是在学习电路分析基础、模拟电子技术基础和数字电路与逻辑设计的基本理论知识和相应的实验技能后进行的。通过(数字电路课程设计》环节的训练,使学生应用所学理论知识和实验技能,设计并安装调试简单的数字系统,进一步掌握数字电路设计、调试的基本方法,巩固所学理论知识,培养学生撰写科学研究报告的能力。 通过本课程设计的教学,学生应具备以下能力: 1)能正确分析常见模拟电子电路,正确选用电子元器件和查找相关资料。 2)能准确设计简单实用型模拟电子电路。 3)掌握电子产品综合开发、安装调试、误差分析和故障处理的能力。 4)能利用所学知识进行低频电子电路综合设计的能力。 2.任务 1)综合运用电子技术课程中所学到的理论知识独立完成一个设计课题。 2)通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3)进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则。

4)学会电子电路的仿真设计与调试以及PCB设计。 5)电子电路安装与调试技能。 6)进一步熟悉电子仪器的正确使用方法。 7)学会按照标准格式撰写课程设计总结报告。 三、对先修课的要求 学生在学习本课之前,应先修课程:大学物理、电路分析基础、模拟电子技术基础、数字电路与逻辑设计相应内容。 四、课程的主要内容、基本要求和学时分配建议 课程设计的教学过程大体上可分成3个阶段: 1)设计与计算阶段 预设计阶段,约6学时) 学生根据所选课题的任务、要求和器件进行总体方案的设计,通过论证与选择,确定总体方案;对方案中单元电路进行选择和设计计算,包括元器件的选用和电路参数计算,经过仿真调试,最后确定总体电路图 原理图和PCB图)。 2)安装和调试阶段 约9学时) 初步设计方案及电路经指导教师审查通过后,学生即可向实验室领取所需元器件等材料,并在实验箱上或试验板上组装电路。此后运用测试仪表进行电路调试,排除电路故障,调整元器件,修改电路,使之达到设计指标要求。此阶段为重点难点所在。 3)撰写总结报告阶段 3学时) 总结报告是学生对课程设计全过程的系统总结。学生应按规定的格式编写设计说明书。说明书的主要内容有: 1)课题名称。 2)设计任务和要求。 3)方案选择与论证。 4)方案的原理框图,总体电路图、布线图,以及它们的说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 5)电路调试。对调试中出现的问题进行分析,并说明解决的措施;测试、记录、整理与结果分析。

《数字电路实验》(实验)课程教学大纲

《数字电路实验》(实验)课程教学大纲 一、课程基本信息 课程名称:数字电路实验 课程编码:91105009 课程性质:必修课 总学时:36 总学分:2 考核方式:考查 开课学期:第4学期 适用专业:电子信息科学与技术专业 先修课程:高等数学、大学物理、电路分析、模拟电路、模拟电路实验 教研室:电子信息教研室 二、教学目标 数字电路实验是一门验证理论、巩固所学数字电路理论知识、根据所学知识进行操作实践的课程。它担负着培养学生理论联系实际的能力,提高学生的动手能力、设计能力、分析问题和解决问题的能力的任务。数字电路实验包括验证基本理论、测试常用中规模芯片的功能、综合性应用及综合设计实验等方面的内容。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的数字电路测试方法和调试的基本技能,加深对数字电路工作原理的理解和研究,培养实事求是,严谨的科学作风及创新意识和能力。 三、教学内容及要求 实验一基本门电路的测试(3学时) 实验类型:基础性实验 主要内容: 1、验证和测试常用门电路的逻辑功能; 2、测试门电路(与非门)的有要参数; 3、观测与非门对信号的控制作用。 教学要求:验证与门、或门、非门、与非门、或非门、同或门、异或门的逻辑功能;学习测试门电路主要参数方法;理解利用门电路控制信号的方法。 重点、难点:用与非门设计电路。 其他教学环节:讲授、演示、单独指导。 实验二集电极开路门和TTL三态门的测试(3学时)

实验类型:基础性实验 主要内容: 1、了解集电极开路门(OC门)和TTL三态门的特点; 2、测试集电极开路门(OC门)的逻辑功能并作简单应用; 3、测试TTL三态门的逻辑功能并作简单应用。 教学要求:测试集电极开路门(OC门)的逻辑功能并实现“线与”功能;测试TTL三态门的逻辑功能并实现“总线传输”的功能。 重点、难点:线与的实现,总线传输功能的病实现。 其他教学环节:讲授、演示、单独指导。 实验三编码器功能测试及应用(3学时) 实验类型:基础性实验 主要内容: 1、了解编码的原理和方法; 2、测试优先编码器(74X148、74X147)的功能; 3、学会编码器扩展的方法。 教学要求:了解编码的原理和方法;掌握优先编码器(74X148、74X147)的功能;学会编码器扩展的方法:用两片8-3线编码器构成1个16-4线编码器。 重点、难点:编码器的功能,编码器的扩展。 其他教学环节:讲授、演示、单独指导。 实验四译码器及其应用(3学时) 实验类型:基础性实验 主要内容: 1、测试译码器(74X4 2、74X139和74X138)的逻辑功能; 2、掌握使用译码器实现逻辑函数的方法; 3、掌握用译码器实现数据分配器功能的方法; 4、学会用译码器级连扩展的方法。 教学要求:理解并测试译码器的逻辑功能;掌握使用译码器实现逻辑函数和作数据分配器的方法;学会用两片3-8线译码器实现4-16线译码器功能的方法。 重点、难点:用译码器实现逻辑函数,译码器级连扩展。 其他教学环节:讲授、演示、单独指导。

数字电路课程教学大纲

数字电路课程教学大纲 课程编号:09231070 课程名称:数字电子技术/ Digital Electronics Technique 学时:64 学分:4 适用专业:通信工程开课学期:2 开课部门:理工学院 先修课程:电路分析、模拟电路 考核要求:考试 使用教材及主要参考书: 康华光主编,《电子技术基础(数字部分)》第四版,高等教育出版社 阎石主编,《数字电子技术基础》第四版,高等教育出版社 王毓银主编,《数字电路逻辑设计》第二版高等教育出版社 沈尚贤主编,《电子技术导论》,高等教育出版社 一、课程的性质和任务 本课程是电子信息工程专业的一门专业基础必修课,是一门理论系统性强,与电子技术应用领域密切关联的课程。它的任务是研究处理自然界数字量所用电路的分析方法,工作原理与设计方法。该课程与模拟电子技术并列,为电子技术中分析设计、应用打基础。同时,它又为学习计算机类硬件课程、分析数据处理设备工作过程提供支撑。 二、教学目的与要求 通过学习数字电子技术课程、受过电子技术实验及课程设计等实践环节训练,应达到这样目的。掌握逻辑问题用逻辑代数表示的方法及逻辑代数的卡诺图化简法及公式化简法;掌握门电路及触发器单元的构成原理及其在逻辑电路中的应用,了解竞争——冒险显现的成因与影响;掌握组合逻辑电路中几种基本电路的扩展应用,时序逻辑电路的分析方法与简单时序电路的设计方法;掌握用四种基本电路在脉冲产生,整形中应用;掌握D/A转换器的基本原理,熟悉它们的应用;了解半导体存储及可编程逻辑器件电路的基本构成,计算机专业需熟悉半导体存储器工作原理。总之,基本要求是为就业及后续课程打下坚实基础。 三、学时分配

数字电路课程教学大纲

数字电路课程教学大纲 数字电路课程教学大纲 数字电路是计算机科学与工程领域中的重要基础课程,它涉及到数字信号的处 理和数字电路的设计。本文将对数字电路课程的教学大纲进行探讨,以期为教 师和学生提供一种有效的教学和学习方法。 一、课程简介 数字电路课程是计算机科学与工程专业的基础课程之一,旨在培养学生对数字 电路的基本概念和设计方法的理解和运用能力。本课程包括数字信号的表示与 处理、数字逻辑门电路的设计与分析、组合逻辑电路与时序逻辑电路的设计等 内容。 二、课程目标 1. 理解数字信号的基本概念和表示方法,掌握数字电路的基本原理和设计方法。 2. 掌握数字逻辑门电路的设计与分析,能够使用逻辑门实现基本的逻辑功能。 3. 理解组合逻辑电路的设计原理和方法,能够设计和分析常见的组合逻辑电路。 4. 理解时序逻辑电路的设计原理和方法,能够设计和分析常见的时序逻辑电路。 5. 能够使用计算机辅助设计工具进行数字电路的仿真和验证。 三、课程内容 1. 数字信号的表示与处理 a. 二进制数制及其转换 b. 布尔代数与逻辑运算 c. 逻辑函数与逻辑表达式 d. 简化逻辑函数与逻辑化简

2. 逻辑门电路的设计与分析 a. 基本逻辑门电路的特性和真值表 b. 逻辑门电路的代数和逻辑运算 c. 逻辑门电路的时序特性和时序分析 d. 逻辑门电路的布尔函数和逻辑函数 3. 组合逻辑电路的设计与分析 a. 组合逻辑电路的基本原理和设计方法 b. 组合逻辑电路的编码器和解码器 c. 组合逻辑电路的多路选择器和多路加法器 d. 组合逻辑电路的比较器和译码器 4. 时序逻辑电路的设计与分析 a. 时序逻辑电路的基本原理和设计方法 b. 时序逻辑电路的触发器和锁存器 c. 时序逻辑电路的计数器和移位寄存器 d. 时序逻辑电路的状态机和序列检测器 5. 数字电路的仿真与验证 a. 数字电路的仿真原理和方法 b. 数字电路的验证原理和方法 c. 数字电路的计算机辅助设计工具的使用 四、教学方法 1. 理论授课:通过讲解和演示,向学生传授数字电路的基本概念和设计方法。 2. 实践操作:通过实验和设计项目,让学生动手实践,加深对数字电路的理解

《数字电路与系统》教学大纲

数字电路与系统 (学分4,学时53+7)一、课程的性质和任务 《数字电路与系统》是电气工程及自动化专业基础课,是该专业类学生学习和掌握数字系统、计算机原理、数字通讯、数字控制等方面知识的入门课程。本课程从应用角度出发,学习数字电路的常用集成器件原理、符号、功能,以及由常用器件组成的组合电路、时序电路的分析和设计方法,进而分析和设计由中规模乃至大规模集成电路组成的数字系统。同时也涉及了各种数字电路和系统,建立数字系统的整体概念,为使学生以后具有用硬件和软件设计中、大规模数字系统的能力打下基础。 二、课程内容、基本要求与学时分配 (一)数字逻辑基础 2学时 1. 数字电路 2. 数制 3. 数制间的转换 4. 代码 5. 带符号的二进制数 教学要求: 掌握二进制、八进制、十进制、十六进制数的计数规律及相互转换;掌握原码、反码、补码以及带符号的二进制数的表示方法;了解数字系统的有关概念;了解BCD码与十进制数的关系及各自特点,以及格雷码的作用、特点和编码的原理。 (二)逻辑门电路 3学时 1. 逻辑门电路介绍 2. 半导体二极管和三极管的开关特性 3. 分立器件门电路 4. 集成逻辑门电路 5. MOSFETs 教学要求: 掌握高、低电平与正、负逻辑的概念;掌握二极管、三极管、MOS管的开关特性;掌握CMOS和TTL反相器电气特性和功能;掌握与门、或门、非门、与非门、或非门、与或门、与或非门、异或门、同或门、三态门、OC门、CMOS传输门的逻辑符号、逻辑功能;了解二极管与门和或门,三极管非门的电路结构及工作原理;了解CMOS和TTL反相器的电路结构工作原理。 (三)逻辑代数基础 9学时1.逻辑代数的运算法则 2.逻辑函数的标准形式 3.逻辑函数的公式化简法 4. 逻辑函数的卡诺图化简法

《数字电路》课程教学大纲

《数字电路》教学大纲 ●课程名称:数字电路 ●教学对象:物理教育 ●先修课程:模拟电路 ●课程性质:选修课 ●教学目标: 通过本课程的学习,较全面地掌握数字电路的基本概念和原理,能对一般性质的数字电路进行分析和设计,并为后续课程的学习打好基础。 ●课程教学原则与教学方法: 在重视课程的基本理论学习的基础上,结合实验教学以进一步理解和消化理论教学内容。 ●课程总学时:64学时 ●教学内容要点及建议学时分配: 一、逻辑代数基础(8学时) 1、逻辑代数基本概念 2、逻辑函数的化简 3、逻辑函数的表示方法 二、门电路(10学时) 1、半导体二极管、三极管和MOS管的开关特性 2、分立元件门电路 3、CMOS 4、TTL门电路的逻辑特性 三、逻辑电路(12学时) 1、组合逻辑电路分析 2、加法与数值比较器 3、编码与译码 4、数据选择和数据分配 5、中规模集成电路应用

6、只读存储器 7、组合电路中的竞争冒险 四、触发器(10学时) 1、基本触发器 2、同步触发器 3、主从触发器 4、边沿触发器 5、触发器的功能和转换 6、触发器逻辑功能表示方法 五、时序逻辑电路(12学时) 1、时序逻辑电路的基本分析方法 2、时序逻辑电路的设计方法 3、记数器 4、寄存器 5、顺序脉冲发生器 六、脉冲波形产生和整形电路(6学时) 1、多谐振荡器 2、施密特触发器 3、单稳态触发器 七、数模、模数转换电路(6学时) 1、D/A转换 2、A/D转换 ●实践性环节的要求:实验单独设课。 ●教材及参考书: 1.余孟尝,《数字电子技术基础简明教程》,高等教育出版社, 1999年第2版; 2.阎石,《数字电子技术基础》,高等教育出版社,1997年第3版。 ●课程考试与评估:考试

(完整word版)数字电路教学大纲

《数字电路》教学大纲 一、课程基本信息 课程编号:124006 英文名称:Digital Circuit 授课对象:本课程为通信工程、电子信息工程、计算机科学与技术、自动化专业本科学生必修课。 开课学期:第4学期 学分/学时:3学分 / 周学时为3学时,总学时为51学时 与相关课程的衔接:本课程的前续课程为“电路分析基础"、“线性电子线路",后续课程为“微机原理及接口电路"、“通信原理”。 教学方式:(1)课堂讲授、课后自学等形式.(2)小型,实用的综合数字电路设计(书面形式)。 考核方式:本课程为考试课程,作业与平时测验占总成绩的30%,期末闭卷考试,占总成绩的70% 课程简介:本课程是通信、电子、计算机科学与技术、自动化专业的一门重要的技术基础课程。它涉及数字技术中的基本原理、基本分析和设计方法,具有很强的工程实践性.其任务是:使学生掌握数字逻辑电路的一般分析和设计方法,同时了解数字电路在实际应用中的典型参数与特点. 二、课程教学目的和要求: 本课程的教学目的是:通过本课程的学习,使学生能掌握数字电子技术的基础理论、基本分析方法和基本测量技能和基本电路设计方法,培养学生的逻辑思维能力和综合运用数字电路理论分析和解决实际问题的能力,组织和从事数字电子电路实验的初步技能。了解数字电子技术的发展与应用,拓宽知识面,为以后的学习、创新和科学研究工作打下扎实的理论和实践基础。 通过本课程的学习,应达到以下基本要求: (1) 掌握逻辑代数运算的基本规则,逻辑函数的化简 (代数,卡诺图); (2)掌握常用的组合逻辑部件及组合逻辑电路的设计方法; (3)掌握常用的时序逻辑部件及时序逻辑电路的设计方法; (4)了解数字电路在实际应用中的特点,如TTL,CMOS,单稳态,多谐振荡器,施密特触发器,AD/DA 转换器的典型参数与特点; (5)可编程逻辑器件PLD的基本结构. 三、教学内容与学时分配: 1、第一章:逻辑代数基础(8学时) 第一节概述 第二节逻辑代数中的三种基本运算 第三节逻辑代数的基本公式和常用公式 第四节逻辑代数的基本定理 第五节逻辑函数及其表示方法 第六节逻辑函数的公式化简法 第七节逻辑函数的卡诺图化简法 第八节具有无关项的逻辑函数及其化简 重点内容:

《数字电路》课程教学大纲

《数字电路》课程教学大纲 课程编号: 课程名称:数字电子技术基础 总学时数:80 理论教学学时:60 实验教学学时:20 前修课程为高等数学,普通物理,电路分析,模拟电路。 后续课程有CPLD,数字信号处理,单片计,通讯原理等 一、课程的任务与目的 本课程是计算机科学和电子信息工程技术专业的一门专业基础课程。 主要任务是: 1.系统的介绍数字系统的数学工具阐述数字系统的基本设计和分析方法。 2.通过数字电路的学习给后面的课程打下一定的理论和实践基础。 3.通过基本理论的学习掌握一定的数字系统的设计方法,及常用器件的应用,再结合实验、培养学生有一定的设计能力。 主要内容有:数制及转换,逻辑代数的公式、定理,逻辑函数的化简方法。半导体二极管、三极管、MOS管的开关特性。CMOS、TTL集成逻辑门。组合逻辑电路的基本分析和设计方法。加法器、比较器、编码器和译码器,数据选择器和分配器。基本、同步、主从、边沿触发器、时钟触发器功能分类及转换。时序电路的基本分析和设计方法。计数器、寄存器、读/写存储器、只读存储器、序列脉冲发生器。多谐振荡器,、施密特触发器。数模、模数转换器。 教学重点与难点: 教学重点是:逻辑代数的基本概念、公式、定理,逻辑函数的化简方法。各种门电路的逻辑功能,两种集成逻辑门的电气特性。各类触发器的逻辑功能及触发方式。组合、时序电路的分析、设计方法。常用典型组合、时序电路的功能、特点和应用。典型中、大规模集成电路器件的功能和应用。多谐、施密特、单稳的特点、功能、参数及应用。数模、模数转换器的典型电路原理、输出量与输入量间的定量关系,特点、参数。 教学难点: 逻辑代数的公式、定理的正确应用,逻辑函数化简的准确性。集成逻辑门的电气特性。组合、时序电路的设计。触发器的触发方式以及脉冲产生,整形电路、数模、模数转换电路

《数字电路》课程教学大纲

《数字电路》课程教学大纲 (Digital Circuit) 一、课程说明 课程编码:044206001 、课程总学时:68学时、周学时:4学时、学分:4学分、开课学期:3。 1.课程性质 本课程是信息电子类专业的专业基础课,包括数字逻辑设计、可编程器件及硬件描述性语言、脉冲电路三大部分。主要内容有:逻辑代数基础、集成门电路原理、组合逻辑电路的分析与设计、集成触发器原理及应用、时序逻辑电路分析与设计、半导体存储器原理与应用、可编程逻辑器件原理与应用、数-模和模-数转换以及脉冲信号的产生和变换。。 2.适用专业 适用于信息电子工程专业、计算机科学与技术专业(师范类、非师范类)。 3.课程教学目的与要求 (1)数字电路是信息电子类专业的重要专业基础课,与后续课程如单片微机原理与应用、数字信号处理与应用、数字集成电路设计、现代逻辑设计等均有紧密的联系。 (2)通过该课程的学习,要求学生掌握数字逻辑电路的基本分析和设计方法,即能分析研究给定数字逻辑电路的工作原理及其电路功能,或者根据实际要求,设计出经济合理的数字逻辑电路。 (3)本课程具有实践性强和工作性强的特点。通过单独开设的实验课程,使学生掌握基本逻辑电路的分析和设计方法。 4.本门课程与其它课程关系 本课程是信息电子类专业的重要专业基础课程,与后续课程如单片微机原理与应用、数字信号处理与应用、数字集成电路设计、现代逻辑设计等专业课程有直接的联系。 5.推荐教材及参考书 (1)教科书:数字电子技术基础清华大学电子学教研室编 (2)参考书:电子技术基础(数字部分)(第三版)康华光华中理工大学电子学教研室编 6.课程教学方法与手段 本课程的教学方法主要以讲课为主,同时引入计算机辅助教学手段,例如利用计算机辅助分析和设计电路。此外,本课程有专门的实验课。

《数字电路与逻辑设计56学时》教学大纲

《数字电路与逻辑设计》(56学时)教学大纲 一、课程基本信息 中文名称:数字电路与逻辑设计 英文名称:Digital Circuits and Logic Design 课程编号:EEE020110 课程性质:专业必修课程 适用专业:自动化、电子信息工程、物联网工程、通信工程 开课时间:第三学期 总学时及学时分配:56学时(理论) 总学分:3.5 预修课程:大学计算机基础与应用(COM00200D) 制定(修订)人: 审核人: 教学院长: 制定(修订)单位:制定(修订)时间: 二、课程目标 本课程是测控技术与仪器、电子信息工程、电气工程及自动化、计算机等专业的一门专业基础课程。该课程详细介绍了数字逻辑的基础内容、逻辑门电路、组合逻辑电路、锁存器和触发器、时序逻辑电路、脉冲波形的变换与产生、数模与模数转换、存储器和可编程逻辑器件。该课程结合集成芯片,采用通俗易懂的叙述方式,进行系统而广泛的描述,旨在培养学生了解和掌握典型数字集成电路的基本知识、使用方法和设计要点的基本技能。具体目标如下: 1、理解数字电路的基本概念、基本原理,掌握数字电路的分析、设计和应用方法; 2、掌握中规模集成电路的设计方法和分析方法; 3、掌握典型的集成芯片的应用与设计; 4、培养学生的实践动手能力; 5、理解半导体存储器的结构与功能。 课程目标与毕业要求实现矩阵

注:毕业要求中A、B、C、D、E、F、G、…对应毕业要求中各项具体内容。 三、课程主要内容及学时分配 1、第1章数字逻辑概论(支撑课程目标1,4学时) 教学要求: (1)理解数字电路与数字信号; (2)掌握数制及数制之间的相互转换; (3)掌握二进制数的算术运算; (4)掌握二进制代码; (5)理解二值逻辑变量与基本逻辑运算; (6)掌握辑函数及其表示方法。 教学内容: (1)数字电路与数字信号:数字技术的发展及其应用;数字集成电路的分类及特点;模拟信号与数字信号;数字信号的描述方法。 (2)数制:十进制;✹二进制;✹十六进制和八进制;✹进制之间的相互转换。 (3)二进制数的算术运算:无符号二进制数的算术运算;✧带符号二进制数的算术运算。 (4)二进制代码:自然二进制码;✹二-十进制编码;✹格雷码;ASCII码。 (5)二值逻辑变量与基本逻辑运算 (6)逻辑函数及其✧表示方法 2、第2章逻辑代数基础(支撑课程目标1,4学时) 教学要求: (1)熟悉逻辑代数的基本定律和基本规则; (2)掌握逻辑函数的✹代数化简法和✧卡诺图化简法。 教学内容: (1)逻辑代数:✹逻辑代数的基本定律和恒等式;✹逻辑代数的基本规则;✧逻辑函数的变换及代数化简法。 (2)逻辑函数的卡诺图化简法:✹最小项的定义及其性质;逻辑函数的最小项表达式;用卡诺图表示逻辑函数;✧用卡诺图化简逻辑函数。

《数字电路》课程教学大纲

数字电路(含实验) Digital circuit(& Experiment) 【课程编号】BJ260151【课程类别】专业限选课 【学分数】4 【学时数】76=58+3+15(实验)【先修课程】模拟电路 【适用专业】物理学 一、教学目的、任务 数字电路是是电气信息类专业的主要专业基础课之一。目的是为学生今后学习有关专业的后续课程如微机原理,自动控制原理、通信原理、数字信号处理、智能仪器仪表等以及为解决工程实践中所遇到的数字系统问题打下坚实的基础。 主要任务是,通过本课程的学习,使学生掌握数字逻辑电路的分析方法和设计方法,掌握典型的数字电路的结构,工作原理及主要用途。培养学生的基本电路实验技能、分析解决实际问题以及进行综合逻辑电路设计的能力。 二、课程教学的基本要求 学习本课程要求学生在对基本逻辑部件的了解和掌握的基础上增强对各种逻辑功能电路进行分析和设计的能力。基本要求主要是: 1、掌握常用数制与编码,主要是二进制、八进制、十六进制、BCD码以及各种数制之间的转换。 2、熟悉逻辑代数及其基本原理、定律和运算方法,掌握公式及图形简化逻辑函数的方法,了解逻辑函数的各种表示方法。 3、了解各类逻辑门电路的基本原理和功能特点。 4、了解组合逻辑电路的特点,掌握组合逻辑电路的分析和设计方法。 5、掌握各种触发器的特征方程、状态转换图。 6、掌握常用时序逻辑电路的分析和同步时序逻辑电路的设计方法。 7、熟悉可编程逻辑阵列和通用阵列逻辑的使用方法。 8、熟悉脉冲产生、整形电路和数模、模数转换电路。 9、熟悉各类典型的数字逻辑器件的功能和使用方法。

10、掌握数字电路实验的基本技能和数字电路测试仪表的使用方法。 三、理论教学内容和学时分配(5 + 5 + 9 + 8 + 7 + 10 + 6+4+4 = 58);研究学时3 第一章数字逻辑基础5学时(课堂讲授5学时) 主要内容: 1、绪论,模拟信号与数字信号。 2、数字电路概述。 3.数制与二进制码。 4、基本逻辑运算、逻辑函数与逻辑问题描述。 教学要求: 1、掌握数字量与模拟量的特点,数字电路的特点、应用。 2、掌握数制的构成方式及进位规则,各数制之间的相互转换。 3、掌握二进制的算术运算与8421BCD码,了解其它二进制代码。 4、掌握逻辑函数的表示方法及基本的逻辑运算。 第二章逻辑代数与硬件描述语音基础5学时(课堂讲授4学时+习题课1学时) 1、逻辑代数。 2、逻辑代数的卡诺图化简法。 教学要求: 1、熟悉逻辑代数的基本定律和常用的恒等式。 2、理解逻辑代数的几个基本规则。 3、掌握逻辑函数的公式化简法和卡诺图化简法。 4、掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。 5、了解最小项、约束项的概念及其在逻辑函数化简中的应用。 其它教学环节:习题课 第三章逻辑门电路9学时(课堂讲授8学时+习题课1学时) 主要内容: 1、MOS逻辑门电路。

数字电路实验课程教学大纲

数字电路实验课程教学大纲 一、课程基本信息 课程代码:92205011 课程中文名称:数字电路实验 课程英文名称:Experiment of Digital Circuit 课程性质:必修课 使用专业:电子信息与科学技术专业 开课学期:第四学期 总学时:36学时 总学分:2学分 预修课程:模拟电路(理论)、模拟电路(实验)及数字电路(理论) 课程简介 本课程是电子信息与科学技术专业的一门重要专业必修课程,它是一门验证理论、巩固所学数字电路理论知识、综合应用基本理论知识进行设计实践的课程。它担负着培养学生理论联系实际的能力,提高学生的动手能力、设计能力、分析问题和解决问题的能力的任务。数字电路实验包括验证基本理论、测试常用中规模芯片的功能、综合性应用及综合设计实验四个方面的内容。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的数字电路测试方法和调试的基本技能,加深对数字电路工作原理的理解和研究,培养实事求是,严谨的科学作风及创新意识和能力。 教材建议 数字电路实验黄文卿徐卫华李家旺编。 参考书 [1] 康华光主编《电子技术基础》第四版,高等教育出版社,修订时间:2006年。 [2] 阎石主编《数字电子技术基础》第四版,高等教育出版社,修订时间:2006年。 二、课程性质、目的及总体教学要求 课程的基本特性: 数字电路实验是数字电路课程的重要实践环节,学生通过实验将学到的数字电路分析和设计的理论应用于实践。学生通过验证,巩固课堂讲授的理论知识,综合应用电路理论知识,设计小型电路,安装,调

试电路,排除电路故障,培养调试和参数测试的能力,提高运用基本理论知识解决实际问题的能力。该实验课对鼓励学生创新,勇于思考,大胆提出问题,创造性地设计电路,调试电路,对培养创新精神和实践能力有重要作用。 课程的教学目的: 学生通过数字电路实验将学到的数字电路分析和设计的理论应用于实践。学生通过验证,巩固课堂讲授的理论知识,综合应用电路理论知识,设计小型电路,安装,调试电路,排除电路故障,培养调试和参数测试的能力,提高运用基本理论知识解决实际问题的能力。 课程的总体教学要求 通过本课程的学习,学生不仅学习到数字电路实验的基础知识,对小型实用电路设计方法、调试技能有一定的要求,因此对学生独立分析和解决实际问题的能力也要作一定的要求。 1.通过实验要求学生学会数字电路实验常用的仪器及设备的使用。 2.要求学生了解TTL、CMOS中小规模集成电路型号系列,使用注意事项。 3.掌握常用数字集成电路的主要参数及逻辑功能的测试方法。 4.要求学生会分析和设计组合逻辑电路,并能用小规模集成门电路和相应的中规模集成电路实现。5.要求学生学会分析和设计时序逻辑电路,并能用触发器或中规模时序电路实现。 6.要求学生初步具备设计、安装、调试小型实用数字电路的基本技能。 三、章节内容、学时分配及教学要求

相关主题