搜档网
当前位置:搜档网 › 运算器实验报告

运算器实验报告

运算器实验报告

运算器实验报告

实验目的:

掌握运算器的基本原理和工作方式,了解二进制运算器的组成和运算方法。

实验仪器:

数字逻辑实验箱、数字通用计算机(8051微处理器)。

实验原理:

运算器是计算机中的核心部件,用于进行算术和逻辑运算。它由控制器、运算单元和存储器组成,可以实现加、减、乘、除等运算。

实验步骤:

1. 将运算器的控制器、运算单元和存储器分别连接起来,并与计算机相连。

2. 输入两个二进制数A和B,将它们存入存储器中。

3. 根据运算需求,设置控制器的工作状态,选择相应的运算模式。

4. 控制器将A和B送入运算单元,运算单元根据控制信号进行运算。

5. 运算结果输出到存储器中,供后续操作使用。

实验结果:

本次实验中,我选择了加法运算作为示范。首先输入两个二进

制数0101和0011,将它们存入存储器中。然后设置控制器的工作状态,选择加法运算模式。运算单元接收到输入信号后,按照加法运算的规则进行计算。最后,运算结果0110被存入存储器中。

实验分析:

通过本次实验,我成功完成了运算器的搭建和使用,并实现了加法运算。运算器的工作原理和基本操作方法有了更深入的理解。在实验中,我发现运算器的速度非常快,能够在瞬间完成大量的运算操作。这使得计算机能够以极高的效率进行数据处理,大大提高了工作效率。

实验总结:

通过本次实验,我对运算器的工作原理和使用方法有了更深入的了解。运算器是计算机的核心部件,是实现算术和逻辑运算的关键。在今后的学习和工作中,我会继续深入研究运算器的相关知识,不断提高自己的运算能力。此外,我还会学习其他计算机组成原理的知识,加深对计算机工作原理的整体认识。为了能更好地应对未来的挑战,我会持续努力学习和提高自己的技能水平。

计算机组成原理实验报告

重庆理工大学 《计算机组成原理》 实验报告 学号 __11503080109____ 姓名 __张致远_________ 专业 __软件工程_______ 学院 _计算机科学与工程 二0一六年四月二十三实验一基本运算器实验报告

一、实验名称 基本运算器实验 二、完成学生:张致远班级115030801 学号11503080109 三、实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 四、实验原理: 两片74LS181 芯片以并/串形式构成的8位字长的运算器。右方为低4位运算芯片,左方为高4位运算芯片。低位芯片的进位输出端Cn+4与高位芯片的进位输入端Cn相连,使低4位运算产生的进位送进高4位。低位芯片的进位输入端Cn可与外来进位相连,高位芯片的进位输出到外部。 两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时,开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCHUNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。 运算结果表

简单计算器实验报告

简单计算器实验报告 简单计算器实验报告 引言: 在现代社会,计算器已经成为人们生活中不可或缺的工具之一。无论是在学校、办公室还是家庭,计算器都扮演着重要的角色。本实验旨在设计和构建一个简 单的计算器,并通过实验验证其功能和准确性。 实验步骤: 1. 设计计算器的外观和功能:我们首先对计算器进行了外观设计,选择了简洁、易于使用的界面。然后确定了计算器的基本功能,包括加法、减法、乘法和除法。 2. 选择合适的硬件和软件:为了构建计算器,我们选择了合适的硬件和软件。 硬件方面,我们选用了一块Arduino开发板、液晶显示屏和按键。软件方面, 我们使用了Arduino编程语言。 3. 连接硬件和编写代码:我们将液晶显示屏和按键与Arduino开发板连接起来,并编写了相应的代码。代码中包括了计算器的逻辑运算和界面显示。 4. 测试计算器的功能和准确性:在完成硬件连接和代码编写后,我们对计算器 进行了测试。我们输入了一系列的算术表达式,包括简单的加减乘除运算,以 及复杂的多步运算。通过与手动计算的结果进行对比,我们验证了计算器的功 能和准确性。 实验结果: 经过多次测试,我们的计算器表现出了良好的功能和准确性。无论是简单的加 法还是复杂的多步运算,计算器都能够正确地给出结果。液晶显示屏清晰地显

示了算术表达式和计算结果,而按键的响应速度也非常迅速。 讨论: 虽然我们的计算器在功能和准确性方面表现出色,但仍有一些改进的空间。首先,我们可以增加更多的功能,如开方、求余等。其次,我们可以改进界面设计,使其更加美观和易于使用。此外,我们还可以考虑加入更强大的处理器和更大的内存,以提高计算器的性能。 结论: 通过本次实验,我们成功设计和构建了一个简单的计算器,并验证了其功能和准确性。计算器在各种算术运算中表现出色,并且具有清晰的界面和快速的响应速度。然而,我们也意识到计算器仍有改进的空间,包括增加更多功能和改进界面设计。总的来说,本次实验为我们深入了解计算器的原理和构造提供了宝贵的经验。

简易计算器实验报告

简易计算器实验报告 简易计算器实验报告 引言: 计算器是我们日常生活中常用的工具之一。它可以帮助我们进行简单的数学运算,提高计算的效率。在本次实验中,我们将设计并制作一台简易计算器,通过实践来掌握计算器的原理和工作原理。 实验目的: 1. 了解计算器的基本原理和工作原理; 2. 掌握计算器的设计和制作方法; 3. 提高动手能力和创造力。 实验材料: 1. 电路板; 2. 按键; 3. LED显示屏; 4. 电容; 5. 电阻; 6. 电源。 实验步骤: 1. 连接电路板和电源,确保电路板能够正常工作; 2. 将按键连接到电路板上,用于输入数字和运算符; 3. 连接LED显示屏,用于显示计算结果; 4. 添加电容和电阻,用于控制电路的稳定性和电流;

5. 调试电路,确保计算器能够正确运行。 实验结果: 经过一番调试,我们成功制作出了一台简易计算器。它可以进行基本的加减乘 除运算,并且在LED显示屏上显示结果。通过按键输入数字和运算符,我们可 以进行各种运算,从而得到我们想要的结果。这台计算器虽然简单,但是它的 实用性和便携性都非常高。 实验分析: 在本次实验中,我们主要学习了计算器的基本原理和工作原理。计算器是通过 按键输入数字和运算符,然后经过电路的计算和控制,最终在显示屏上显示结果。在电路中,电容和电阻的作用是为了保证电路的稳定性和电流的控制。通 过这个实验,我们更加深入地了解了计算器的内部结构和工作原理。 实验总结: 通过本次实验,我们成功制作了一台简易计算器,并且对计算器的原理和工作 原理有了更深入的了解。实验过程中,我们不仅提高了动手能力和创造力,还 培养了解决问题的能力。计算器作为一种常见的工具,它的设计和制作过程并 不复杂,但是它的实用性和便携性却非常高,为我们的生活带来了很大的便利。未来展望: 通过这次实验,我们对计算器的原理和工作原理有了初步的了解。在未来,我 们可以进一步深入研究计算器的更高级功能和更复杂的电路设计。同时,我们 也可以将这种简易计算器的设计思想应用到其他领域,如电子设备、机器人等,从而提高我们的创造力和创新能力。 结语:

计算机组成原理实验

成绩:计算机原理实验室实验报告 课程:计算机组成原理 姓名:姜香玉 专业:网络工程 学号:132055215 日期:2015年12月 太原工业学院 计算机工程系

实验一:运算器实验 实验环境PC机+Win 2003+emu8086+proteus仿真器实验日期2015年.10 一.实验内容 1.熟悉proteus仿真系统 2.设计并验证4位算数逻辑单元的功能 3.实现输入输出锁存 4.实现8位算数逻辑单元 二.理论分析或算法分析 实验原理: 算术逻辑运算单元的核心是由74LS181 构成,它可以进行二进制数的算术逻辑运算,74LS181 的各种工作方式可通过设置其控制信号来实现。当正确设置74LS181的各个控制信号,74LS181 会运算数据锁存器内的数据。由于数据锁存器已经把数据锁存,只要74LS181的控制信号不变,那么74LS181 的输出数据也不会发生改变。输出缓冲器采用74LS245,当控制信号为低电平时,74LS245导通,把74LS181 的运算结果输出到数据总线,高电平时,74LS245 的输出为高阻。 实验中所用的运算器数据通路如图所示。 其中运算器由两片74LS181以并/串形式构成8位字长的ALU。 运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。

计算器设计实验报告

计算器设计实验报告 《计算器设计实验报告》 摘要: 本实验旨在设计一个简单的计算器,并测试其功能和准确性。实验过程中,我们使用了基本的电子元件和逻辑门电路来实现计算器的各种功能。经过测试,我们发现设计的计算器能够准确地进行加减乘除等运算,并且在使用过程中表现稳定可靠。通过本实验,我们对计算器的设计和原理有了更深入的了解,并且掌握了一定的电子电路设计和测试技能。 引言: 计算器是现代生活中不可或缺的工具,它能够帮助人们进行各种数学运算,提高工作和学习效率。在本实验中,我们将设计一个简单的计算器,并测试其功能和准确性。通过这个实验,我们可以更深入地了解计算器的原理和设计,为今后的电子电路设计和实验打下基础。 材料和方法: 1. 电子元件:逻辑门、电阻、电容、LED等 2. 电路板和连接线 3. 电源和示波器 4. 计算器设计图纸和电路原理图 实验步骤: 1. 根据设计图纸和电路原理图,连接各种电子元件,组装成一个计算器电路。 2. 使用电源给电路供电,并使用示波器测试电路的输出波形和稳定性。 3. 进行加减乘除等运算测试,记录计算器的准确性和稳定性。

4. 对计算器进行长时间稳定性测试,观察其在长时间使用中的表现。 实验结果: 经过测试,我们发现设计的计算器能够准确地进行加减乘除等运算,并且在使用过程中表现稳定可靠。电路的输出波形清晰,没有明显的波动和噪声。在长时间稳定性测试中,计算器表现良好,没有出现明显的故障和失效现象。 讨论: 通过本实验,我们对计算器的设计和原理有了更深入的了解,并且掌握了一定的电子电路设计和测试技能。在今后的学习和工作中,我们可以根据这些知识和技能,设计和制作更复杂和功能更强大的计算器和其他电子设备。 结论: 本实验设计了一个简单的计算器,并测试了其功能和准确性。经过测试,我们发现设计的计算器能够准确地进行加减乘除等运算,并且在使用过程中表现稳定可靠。通过这个实验,我们对计算器的设计和原理有了更深入的了解,并且掌握了一定的电子电路设计和测试技能。这些知识和技能将为我们今后的学习和工作提供帮助。

单片机实践简易计算器实验报告

单片机实践简易计算器实验报告 本次实验的目的是通过单片机实现一个简易计算器,实现加减乘除四则运算。在实验过程中,我们使用了STC89C52单片机,通过编写程序实现计算器的功能。 实验步骤: 1. 确定硬件电路连接 我们需要确定硬件电路连接。本次实验使用的是STC89C52单片机,需要将其与LCD1602液晶屏、4x4矩阵键盘、蜂鸣器等硬件连接。具体连接方式如下: STC89C52单片机: P0口:连接LCD1602液晶屏的数据线D0-D7 P1口:连接LCD1602液晶屏的控制线RS、RW、E P2口:连接4x4矩阵键盘的行线R1-R4 P3口:连接4x4矩阵键盘的列线C1-C4 P4口:连接蜂鸣器 2. 编写程序

接下来,我们需要编写程序实现计算器的功能。程序主要分为以下几个部分: (1)LCD1602液晶屏初始化 (2)4x4矩阵键盘扫描 (3)计算器功能实现 (4)LCD1602液晶屏显示结果 3. 调试程序 编写完程序后,我们需要进行调试。在调试过程中,我们需要注意以下几点: (1)检查硬件连接是否正确 (2)检查程序是否有语法错误 (3)检查程序是否能够正常运行 4. 实验结果 经过调试,我们成功实现了一个简易计算器。在使用过程中,用户可以通过4x4矩阵键盘输入数字和运算符,计算器会自动进行计算,并在LCD1602液晶屏上显示结果。同时,计算器还具有清零、退格等功能,方便用户进行操作。

总结: 通过本次实验,我们学习了单片机的基本原理和编程方法,掌握了如何使用单片机实现一个简易计算器。同时,我们还学习了如何进行硬件电路连接和程序调试,提高了我们的实践能力和动手能力。

计算机组成原理实验报告

湖南师范大学工程与设计学院 计算机组成原理实验报告 姓名: 年级:2014级 专业:计算机科学与技术 学号:2014301850 任课教师:谢锦 开课时间:2015~2016学年第二学期

湖南师范大学工程与设计学院实验数据报告单 实验课程:计算机组成原理 实验题目:基本运算器实验 实验日期:2016年 6月13日 专业:计算机年级:2014级班级:五班姓名: 一.实验目的:1.了解运算器的组成结构 2.掌握运算器的工作原理 二..实验内容: 主要内容:该试验旨在了解运算器内部运算过程及组成结构,并能进行一些简单的数据运算。该实验通过一片CPLD来实现运算器部件的功能,在接好的实验电路上,用CMA软件将数据加载加入内存,最终实现通过设置CON单元的S3、S2、S1、S0以及时序T1、T2、T3、T4的不同值来实现不同的功能。 表现在:用S3、S2、S1、S0的不同值并配合CN的值来实现将寄存器A、寄存器B中的两个数进行逻辑运算、移位运算、算术运算,并且加上时间脉冲的加入,并且能够准确的实现值的输出。 结果体现在:用FC灯亮表示有进位,FZ灯亮表示零标志,D7…D0灯显示通过运算后得出来的值。 三.实验原理图: 图一(运算器原理图)

四.实验数据与分析: 0000:功能是F=A(直通),因为A=65,所以F=65,且没有进位,标志位也没有变化,所以FC=0,FZ=0. 0001: 功能是F=B (直通),因为A=A7,所以F=A7,且没有进位,标志位也没有变化,所以FC=0,FZ=0. 0010: 功能是F=AB,也就是A与B的逻辑与,所以F=25, 且没有进位,标志位也没有变化,所以FC=0,FZ=0. 0011:功能是F=A+B,也就是A与B的逻辑或,所以F=E7, 且没有进位,标志位也没有变化,所以FC=0,FZ=0. 0100: 功能是F=/A, 0101:功能是F=A不带进位循环右移B(取低三位)位。 0110:功能是当CN=0时,F=A逻辑右移一位;当CN=1时,F=A带进位逻辑右移一位。 0111:功能是当CN=0时,F=A逻辑左移一位;当CN=1时,F=A带进位逻辑左移一位。 1000:功能是置FC=CN. 1001: 功能是F=A加B 1010: 功能是F=A加B加FC 1011: 功能是F=A减B 1100: 功能是F=A减1 1101:功能是F=A加1 1110:保留 1111:保留 试验数据如下表: 运算类型 A B S3 S2 S1 S0 CN 功能 逻辑运算65 A7 0000 X F= 65 FC= 0 FZ= 0 0001 X F= A7 FC= 0 FZ= 0 0010 X F= 25 FC= 0 FZ= 0 0110 X F= E7 FC= 0 FZ= 0 0100 X F= 9A FC= 0 FZ= 0 移位运算65 A7 0101 X F= CA FC= 0 FZ= 0 0110 0 F= 32 FC= 0 FZ= 0 1 F= B 2 FC= 1 FZ= 0 0111 0 F= CA FC= 0 FZ= 0 1 F= CA FC= 0 FZ= 0 算术运算65 A7 1000 X F= 65 FC= 0 FZ= 0 1001 X F= 0C FC= 1 FZ= 0 05 A7 1010(FC=0) X F= 0D FC= 1 FZ= 0 65 A7 1010(FC=1) X F= 0D FC= 1 FZ= 0 1011 X F= BE FC= 1 FZ= 0 1100 X F= 64 FC= 0 FZ= 0 1101 X F= 66 FC= 0 FZ= 0

《计算机组成原理》运算器实验报告

一、实验名称:运算器实验 二、实验目的: 1、掌握运算器的组成及工作原理; 2、熟悉ALU执行算术运算与逻辑运算的具体实现过程; 3、掌握ALU 算术与逻辑运算的控制方法 4、了解多片ALU的组合扩展功能和进位链的实现 三、实验内容: 1、两16位操作数的算术运算及进位影响 2、两16位操作数的逻辑运算及进位影响 3、不同控制组合下的算术与逻辑运算的输出结果 四、实验设备: EL-JY-II型计算机原理实验系统,排线若干 五、实验步骤: 1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线; 2、系统上电,拨动清零开关,系统清零; 3、从数据输入开关电路输入第一个16位数据,开放数据总线,使数据进入暂存寄存器1; 4、从数据输入开关电路输入第二个16位数据,开放数据总线,使数据进入暂存寄存器2; 5、关闭数据输入开关,开启ALU输出,检查两个16位数据正确与否,有错通过步骤3改正; 6、设置方式控制M=0,拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果; 7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 8、设置方式控制M=1,拨动功能选择端S3,S2,S1,S0 进行逻辑运算,记录ALU输出结果; 9、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 10、对实验结果进行检查,如有错误,找出原因,重做实验,直到正确为止。

六、实验结果 整个实验记录的实验结果如下: 表一:ALU 算术与逻辑运算实验结果

七、分析讨论 M是算术与逻辑运算的选择端决定了ALU 进行那类运算,S0, S1,S2,S3是功能选择控制端,决定是做加、减、逻辑与、逻辑或、逻辑异或等运算,表一的实验结果与手工验算完全一致,从而验正了整个ALU的算术/逻辑运算功能和进位处理功能。灵活运用S0,S1,S2,S3的不同组合可以实现许多其它功能,如本ALU虽然没有求补功能但可以通过取反加1完成求补运算,向左移位的实现可采用自身相加(A+A=2A),此外选择M=1,S3S2S1S0=1111或S3S2S1S0=1010将操作数A或B可以直接送到ALU的输出,这样可以直接验证输入数据是否正确。本次实验也涉及到了数据总线,总线有三个性质:公共性、驱动性和三态性,在数据输入时利用控制开关来控制三态,有效时输出数据,无效时数据输入缓冲器呈高阻(相当断开)与数据总线隔离;公共性是总线的最根本的属性,所有传输的数据都通过共享数据线分时完成的,何时完成靠控制信号来区分,如输入的两个16位数据就是通过数据总线分两次(分时)传送的。 八、心得体会 这是计算机组成原理的第一个实验,虽然还有点陌生,但基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的。

verlog八位运算器实验报告

《计算机组成原理》创新性实验(一) 报告 学院:计算机学院 教师:魏凤岐 系所:计算机科学系 专业:网络工程 学号:0121120838 姓名:白春耀

实验一、8位运算器的设计----原创 1设计目的: 1)初步学习硬件逻辑电路建模,并用HDL语言描述硬件电路; 2)掌握应用quarter环境进行开发的流程。 2设计目标:设计一个具有寄存器,能够进行算术逻辑运算(包括移位运算)和逻辑运算的功能模块。 3模块设计规格及输入输出端变量说明: 1)8位运算,有四个寄存器,能够实现加、减、左移、右移、与、或等运算的模块。 2)模块原理框图 3)输入输出变量: s2,s1,s0:功能控制信号; clk:脉冲信号

sk:输入数据寄存器选择控制信号; in[7:0]:数据输入端; f:运算结果端; a:A寄存器值; b:B寄存器值; c:C进位寄存器值; z:结果值f是否为零(f=0则z=1)。 4)实现功能: 见表1-1-1 运算类型S3 S2 S1 S0 功能 算数运算 逻辑运算算数运算000 f=a+b 001 f=a-b 010 f=~a 011 f=a+1 移位运算100 f=a逻辑右移一位移位运算101 f=a逻辑左移一位逻辑运算110 f=a&b 111 f=a|b 4程序代码(需要注解) module bai(a,b,f,clk,sk,s,c,in,z); input sk,clk; input [2:0] s; input [7:0] in; output [7:0] a,b,f; output c,z; reg [7:0] a,b,f; reg c,z; always @(posedge clk)//检测上升沿 begin if(sk)//如果sk=1 a<=in;//将输入端in的值存入寄存器A; else b<=in;//否则存入寄存器B中; end always @(s or a or b)//三条件都满足

运算器实验报告

运算器实验报告 实验目的,通过设计和制作一个简单的运算器,加深对逻辑门原理和数字电路的理解,掌握数字电路的基本设计方法和实验技能。 一、实验原理。 1.逻辑门原理。 逻辑门是数字电路的基本组成部分,根据输入信号的不同组合产生不同的输出信号。常见的逻辑门有与门、或门、非门等。 2.数字电路设计。 数字电路是由逻辑门和触发器等数字元件组成的电路,能够对数字信号进行处理和运算。 二、实验器材。 1.电源。 2.逻辑门集成电路。 3.示波器。 4.万用表。 5.连接线。 6.电路板。 7.开关。 8.LED等。 三、实验步骤。

1.根据设计要求,选择适当的逻辑门集成电路,连接电源和示波器等设备。 2.按照逻辑门的真值表,确定输入信号的组合,观察输出信号的变化。 3.调试电路,确保逻辑门的输入输出符合设计要求。 4.将电路连接至LED等输出装置,观察LED的亮灭情况。 四、实验结果。 经过实验,我们成功设计并制作了一个简单的运算器。通过观察示波器和LED 等输出装置,我们可以清晰地看到输入信号和输出信号的变化情况。经过调试,我们确保了逻辑门的输入输出符合设计要求,实现了基本的逻辑运算功能。 五、实验分析。 本次实验通过设计和制作运算器,加深了对逻辑门原理和数字电路的理解。在实验过程中,我们发现了一些问题,并通过调试和改进,最终取得了成功。这些问题的解决过程,也让我们更加深入地理解了数字电路的基本设计方法和实验技能。 六、实验总结。 通过本次实验,我们不仅掌握了数字电路的基本设计方法和实验技能,还加深了对逻辑门原理的理解。在未来的学习和工作中,我们将继续努力,不断提高自己的实验能力,为将来的科研和工程实践打下坚实的基础。 七、实验感想。 本次实验让我们深刻体会到了实验的重要性,实践是检验理论的最好方法。通过亲自动手设计和制作运算器,我们不仅对数字电路有了更深刻的理解,还增强了实验技能和动手能力。希望在未来的学习和科研中,能够继续保持这种探索精神,不断提高自己的实验能力和创新能力。 八、参考文献。

运算器组成原理实验报告

运算器组成原理实验报告 运算器组成原理实验报告 一、引言 运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。本实验旨 在通过实际操作,深入了解运算器的组成原理和工作机制。 二、实验目的 1. 理解运算器的基本概念和功能。 2. 掌握运算器的组成原理及其实际应用。 3. 学会使用逻辑门电路构建简单的运算器。 三、实验器材与步骤 1. 实验器材: - 逻辑门电路芯片(如AND、OR、NOT等); - 运算器实验板; - 连接线等。 2. 实验步骤: 1) 连接逻辑门电路芯片到运算器实验板上的相应位置。 2) 根据实验要求,设置逻辑门的输入信号。 3) 运行实验板,观察输出结果。 4) 记录实验数据,并进行分析。 四、实验原理 运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。

1. 加法器 加法器是运算器的基本组成部分,用于实现数字的加法运算。它由多个逻辑门电路组成,其中包括半加器和全加器。半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。 2. 减法器 减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。它通过将减法转化为加法运算来实现。减法器的输入包括被减数、减数和借位,输出为差值。 3. 乘法器 乘法器用于实现数字的乘法运算。它通过多次的加法运算来实现乘法。乘法器的输入包括被乘数和乘数,输出为积。 4. 除法器 除法器用于实现数字的除法运算。它通过多次的减法运算来实现除法。除法器的输入包括被除数和除数,输出为商和余数。 五、实验结果与分析 根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。通过分析实验数据,我们得出了以下结论: 1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。 2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。 六、实验总结

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

计算机组成原理运算器的实验报告

计算机组成原理运算器的实验报告 一.实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二.实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑 和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4 的矩阵(系统中是一个8X8 的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0 。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填 充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示(以A0为例,其它相同)。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。 三.实验步骤与结果 (1)按图1-1-5连接实验电路,并检查无误。图中将用户需 要连接的信号用圆圈标明(其它实验相同)。 (2) 将时序与操作台单元的开关KK2 置为‘单拍’档, 开关KK1 、KK3 置为‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接 线,直到错误排除。然后按动CON单元的CLR 按钮,将运算器的A、B 和FC、FZ清零。 (4) 用输入开关向暂存器A 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1 ’,灭为‘0 ’。 ②置LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个

计算机组成原理实验报告——2运算器实验 华北电力大学 李梅

科技学院 综合实验报告 ( 2011 -- 2012 年度第一学期) 名称:计算机组成原理综合实验题目:运算器实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:第十八周 成绩: 日期:年月

一、目的与要求 1.熟悉与深入理解4位的运算器芯片Am2901的功能和内部组成,运行中要求使用的 控制信号及其各自的控制作用; 2.熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各 数据位信号、各控制位信号的连接关系; 3.熟悉与深入理解用2片GAL20V8芯片解决ALU最低位的进位输入信号和最高、最低 位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在 运算器芯片之内实现而要到芯片之外另外处理; 4.明确教学计算机的运算器部件,使用总计23位的控制信号就完全确定了它的全部 运算与处理功能,脱机运算器实验中可以通过24位的微型开关中的23位提供这些 控制信号,教学计算机正常执行指令时,这些控制信号必须改由控制器部件来提供。 在两种方式下,每一位(组)的控制功能是完全相同的。 二、实验正文 1.实验内容 1.1脱机运算器和联机运算器的区别和联系 运算器是计算机硬件系统传统的5大功能部件之一,承担执行运算和暂存运算数据的功能,通常由执行算术逻辑运算功能的ALU线路、暂存参加ALU运算的数据和中间运算结果的通用寄存器组、支持乘除法运算的专用寄存器三部分组成,三个部分之间通过多路选择器线路实现连接,从而构成一个完整的运算器部件。 TEC-XP16教学计算机的运算器部件,主体部分由4片4位长度的位片结构的运算器芯片Am2901组成,每片Am2901可以接收来自内部总线IB的4位输入数据,其4位输出都直接送到地址寄存器AR的不同字段(AR不属于运算器的组成部分, 图中用虚线框表示),并且经过支持三态功能的开关门电路送到内部总线IB。还要 使用MACH芯片内部的部分电路提供ALU最低位的进位输入信号和最高、最低位的移 位输入信号,使用一片GAL20V8实现4位的标志位寄存器FLAG,接收ALU输出的4 个标志位信号和来自内存堆栈区的4位数据(用于恢复现场状态信息),FLAG的4位输出可以经过一片带支持三态功能的开关门电路送到内部总线IB,用于保存现场状态信息到堆栈区。教学机运算器部件的组成线路和信息连接关系如图2.1所示。

计算机组成原理实验-运算器实验报告

1.逻辑运算 (1)S3S2S1S0=0000时,F=A,例如:当A=00010101,B=01101001时 F=00010101; 当A=01011000时,B=01011110时 F=01011000 (2)S3S2S1S0=0001时,F=B,例如: 当A=10110111,B=01110010时 F=01110010 当A=11100011,B=01010110 F=01010110 (3)S3S2S1S0=0010时,F=AB。例如:当A=10110010,B=10010111时 F=10010010 当A=11000011,B=00111100时 F=00000000 (4)S3S2S1S0=0011时,F=A+B。例如:当A=00110101,B=11001010时, F=11111111 当A=01011011,B=11000101时 F=11011111 (5)S3S2S1S0=0100时,F=/A。例如:

当A=00110100,B=11010010时, F=11001011 当A=01001111,B=10100101时 F=10110000 2.移位运算 (1)S3S2S1S0=0101时,F=A逻辑右移B(取低三位)位。例如: 当A=01000101,B=00000010时, F=00010001 当A=01011011,B=00000101时 F=00000010 (2)S3S2S1S0=0110时,F=A逻辑左移B(取低三位)位。例如: 当A=00110101,B=00000011时, F=10101000 当A=01101011,B=00000001时 F=11010110 (3)S3S2S1S0=0111时,F=A算术右移B位。例如:当A=01110101,B=00000010时, F=00011101 当A=01000111,B=00000101时

相关主题