搜档网
当前位置:搜档网 › 即时通讯工具的选择实验报告

即时通讯工具的选择实验报告

即时通讯工具的选择实验报告
即时通讯工具的选择实验报告

电子商务基础课程实验报告

实验记录

即时通讯软件的发展及其现状

摘要 近一、两年来,即时通讯软件(InstantMessenger)的发展突飞猛进。在短短的几年内,网络即时通信大有取代传统通信方式之势。继电子邮件之后,即时通讯对我们的通信方式又进行了一场革命,这种革命甚至延续到了我们的感情领域,只要双方都在互联网上。即时通讯所拥有的实时性、跨平台性、成本低、效率高等诸多优势,使之日益受到网民们的喜爱。随着互联网成长起来的“e一代”,在交换联系方式时已经习惯了交换QQ号。 本文介绍了网络即时通讯软件的发展过程及现状,五种最常用的即时通讯软件,以及即时通讯软件的最新发展。 关键字:即时通讯;网络发展;软件

目录 摘要 (1) 一、即时通讯 (3) (一)概要 (3) (二)即时通讯软体 (4) 二、国内常用的五种即时通讯软件 (4) (一)QQ (4) (二)MSN (5) (三)阿里旺旺 (6) (四)百度hi (6) (五)POPO (7) 三、即时通信软件的现状 (8) 四、即时通信行业发展趋势 (9) 五、总结 (10)

一、即时通讯 即时通讯(Instant messaging,简称IM)是一个终端服务,允许两人或多人使用网路即时的传递文字讯息、档案、语音与视频交流。分电话即时通讯手机和网站即时通讯代表百问https://www.sodocs.net/doc/807214232.html,,手机即时通讯代表是短信,视频即时通讯如QQ,MSN,百度hi等应用形式。 (一) 概要 即时通讯是一个终端连往一个即时通讯网路的服务。即时通讯不同於e-mail在於它的交谈是即时的。大部分的即时通讯服务提供了presence awareness的特性──显示联络人名单,联络人是否在缐上与能否与联络人交谈。 在早期的即时通讯程式中,使用者输入的每一个字元都会即时显示在双方的萤幕,且每一个字元的删除与修改都会即时的反应在萤幕上。这种模式比起使用e-mail更像是电话交谈。在现在的即时通讯程式中,交谈中的另一方通常只会在本地端按下送出键(Enter或是Ctrl+Enter)後才会看到讯息。 在网际网路上受欢迎的即时通讯服务包含了MSN Messenger、AOL Instant Messenger、Yahoo! Messenger、NET Messenger Service、Jabber、ICQ与QQ。这些服务有赖於许多想法更久的(与普遍)的缐上聊天媒介,如Internet Relay Chat一样知名。 1970年代早期,一种更早的即时通讯形式是柏拉图系统(PLATO system)。之後在1980年代,UNIX/Linux的交谈即时讯息被广泛的使用於工程师与学术界,1990年代即时通讯更跨越了网际网路交流。1996年11月,ICQ是首个广泛被非

网络即时通信工具的综合比较分析

网络即时通信工具的综合比较分析 一、文字聊天 使用QQ进行文字聊天的步骤如下: 1.在QQ主面板的好友列表中右键单击选定好友的头像,选择“发送即时消息”,或者直接双击头像,都可以调出文字聊天对话框。 2.在输入栏中输入要说的话,单击“发送”按钮,就可以发送给对方,同时也显示在上面的聊天对话框中。对方回复的消息也同步地显示在聊天对话框中。 3.向好友发送消息时,还可以对消息的文字颜色、字体进行调整。在聊天对话框上单击,会出现字体设置工具条,在上面可以选择字体类型、字号、加粗、颜色等设置。

二、视频语音聊天 QQ视频聊天有超级模式和普通模式两种。超级视频分辨率为320×240,画质提升了四倍,图像传输流畅,支持全屏。与超级视频相比,普通视频模式对网络带宽要求不高,可以在电脑配置不高或网络条件较差的情况下使用。这两种模式下对摄像头都无特殊要求,30万像素即可满足视频聊天需要。 三、查看聊天记录 在QQ的系统菜单中【好友与资料】下选择“消息管理器”,就出现了【信息管理器】界面。【信息管理器】的左侧列出了所有的好友,

双击其中的一个好友,就可以在右侧的【本地聊天记录】中看到之前在本地与该好友的所有聊天记录。 QQ会员可以在QQ中设置聊天记录漫游,系统自动将聊天记录上传到服务器,在任何地方登录QQ都可以在【信息管理器】右侧的【漫游的聊天记录】中看到完整的聊天记录。 四、传送文件 好友在线时,可以进行文件的直接发送,具体步骤如下: 1.单击聊天对话框上的传送文件按钮,在下拉菜单中选择“直接发送”,如图所示,或者在QQ主面板的用户头像右键单击,在弹出功能菜单中选择“发送文件”,如图所示。也可直接用鼠标将文件拖入聊天对话框中。 2.在弹出的【打开】对话框中选取本地硬盘上需要传送的文件,单击右下侧的“打开”按钮。 五、远程协助

数据选择器实验报告.docx

谢谢欣赏实验三数据选择器 实验人员:班号:学号: 一、实验目的 (1) 熟悉并掌握数据选择器的功能。 (2) 用双4选1数据选择器74LS153设计出一个16选1的数据选择器。 (3) 用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153。 三、实验内容 (1) 测试双4选1数据选择器74LS153的逻辑功能。 74LS153含有两个4选1数据选择器,其中A0和A1为芯片的公共地址输入端,Vcc 和GND分别为芯片的公共电源端和接地端。Figure1为其管脚图: Figure 1 1Q=A1A01D0+A1A0?1D1+A1A0?1D2+A1A0?1D3 2Q=A1A02D0+A1A0?2D1+A1A0?2D2+A1A0?2D3 按下图连接电路: Figure 2 (2) 设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F。利用所给的实验仪器设计出一个符合上述要求的16选1数据选择器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端的地址之中的一个,进而实现选择该数据输入端中的数据的功能,即16选1。而公共的A0、A1两个地址输入端和S使能端(用于片选,已达到分片工作的目的,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维的方法,将一个地址输入隐藏到一个数据输入端Dx 中。本实验可以降一维,也可以降两位。由于两位比较复杂,本实验选择使用降一维的方式。 做法: 画出如应用题中实现所需功能的卡诺图: 谢谢欣赏

关于阿里钉钉软件使用分析范文

关于阿里钉钉软件的使用报告 一、阿里钉钉软件功能介绍 钉钉由阿里巴巴集团于2014年1月筹划启动,由阿里巴巴来往产品团队打造,提出的口号是“专注于提升中国企业的办公与协同效率”,目前通过近20个版本的更新,已经更新至3.4.6版本。 1.1、即时聊天工具 即时聊天工具,消息可以发送语音和短信,可以多平台同步,并依赖手机实现消息必达,消息可查看被查阅状态,在软件中这种即时聊天工具被称为“DING”,发出的DING消息将会以免费电话、免费短信、系统提醒等的方式通知到对方,无论对方是否安装钉钉,都可以做出回应,并及时显示。消息也可以设置成为匿名模式即软件中的“密聊”,进入密聊,头像和昵称都会打码(截屏无用);所有消息阅读后30秒自动焚毁,消息不允许复制、转发,不留存,有三个私密等级可以设置。 1.2、企业群和通讯录 企业群和通讯录,通讯录实名制,组织机构可以分级管理,人员激活采用短信方式;

1.3、会议系统 移动电话会议系统和视频会议,支持多方移动通话会议,有条件免费使用。 1.4、公告 公告,支持公告发送到不同终端,也可转化为必答消息,可查看查阅状态,公告可以设为加密方式; 1.5、智能设备 主要为外接打卡机或者手机登设备 1.6、签到考勤 签到考勤,支持地图实时GPS定位提交考勤位置,支持无线WIFI接入提交,支持实拍现场图像见证; 1.7、钉盘功能 钉盘功能、钉盘提供企业内部人员使用,云盘数据可转化为消息传阅,所有数据可以导出; 1.8、审批 流程审批,可灵活设置审批事项和审批人,并可自定义设置或新增审批事项并可统计查询。

1.9、日志 工作日志,可实现员工工作日报、周报、月报等工作汇报,可查看统计。 1.10、报表 智能报表,提供给管理员及负责人查询统计各种数据情况; 1.11、开放接口 开放开发平台,可以自主开发微应用,通过加密接口,可和公司内部系统进行数据交互; 1.12、权限设置 权限可以分级管理功能,云盘分区安全存储功能; 1.13、其他应用 数据采用加密存储和传输,云端安全防护(需使用第三方付费开发软件);多中企业管理软件(第三方有条件免费使用) 1.14、支持平台 IOS(苹果手机系统)、Android(安卓手机系统)、Windows、MAC(苹果电脑操作系统),提供手机端及PC后台管理功能。

2选1多路选择器 EDA实验报告

EDA实验报告 学生姓名:asfmla;m 学号:eafvpa[cv专业班级:电子3班 组合电路设计 一、实验目的 熟悉quartusⅡ的VHDL文本设计全过程,学习简单组合电路的设计、多层次电路设计、仿真。 二、实验内容 实验内容:首先利用quartusⅡ完成2选1多路选择器(例4-3)的文本编译输入(mux21a.vhd)和仿真测试等步骤,最后在实验系统上硬件测试,验证此设计的功能。将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。引脚锁定以及硬件下载测试。建议选实验电路模式5,用键1(PIO0)控制s0;用键2(PIO1)控制s1;a3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接扬声器spker。通过短路帽选择clock0接256HZ信号,clock5接1024HZ信号,clock2接8HZ信号。最后选行编译、下载和硬件测试实验。 三、实验器材 Quartus II软件。 四、设计思路/原理图 五、实验程序 实验内容1:二选一: library ieee; use ieee.std_logic_1164.all; entity mux21a is port(a,b: in std_logic; s: in std_logic; y: out std_logic); end entity; architecture dataflow of mux21a is begin

y<=a when s='0' else b; end architecture; 实验内容2:三选一 library ieee; use ieee.std_logic_1164.all; entity mux31a is port(a1,a2,a3: in std_logic; s0,s1: in std_logic; outy: out std_logic); end entity mux31a; architecture m31a of mux31a is component mux21a port( a,b: in std_logic; s: in std_logic; y: out std_logic); end component; signal tmp: std_logic; begin u1: mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp); u2: mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy); end architecture; 六、实验步骤 在E盘新建一个文件夹,用于存放工程。打开quartus,新建工程,然后选择新建VHDL 文件,命名为mux21a。在VHDL编辑窗口中输入实验程序后,进行编译、仿真;在实验一的基础上,新建VHDL文件,命名为mux31a。在VHDL编辑窗口中输入实验程序后,进行编译、综合、仿真;最后进行硬件测试。 七、仿真波形分析 二选一波形: 分析:当s=0时,y=a;当s=1时,y=b。 三选一综合图形及其波形

即时通讯工具的异同

即时通讯工具的异同 不同点 腾讯QQ 腾讯是中国最早的互联网即时通信软件开发商,是中国的互联网服务及移动增值服务供应商,并一直致力于即时通信及相关增值业务的服务运营。腾讯QQ已形成为国内用户最多的个人即时通信工具,2004年腾讯QQ推出了2004Beta2新版本,在软件功能上作了较大的改进,它的发展引导着国内即时通讯软件的发展方向。 作为国内即时通讯软件的老大,QQ2004 II Beta2版本增加了多项耳目一新的功能,如魔法表情、影片截图(图14)、多人语音、网络记事本等等。 MSN MSN是微软公司开发的即时聊天工具,由于微软产品用户众多,MSN操作简单运行稳定,因此MSN的普及速度非常之快,现在已经是世界主流的聊天工具,并且功能越来越强大,最近推出了7.0版本。 喜欢写文字的朋友如果拥有MSN的.NET PassPort账号可以去申请MSN最新提供的MSN Spaces空间,让自己也成为网络博客。另外MSN7.0还增加了MSN Today的对话框,可以让用户即时看到MSN网站上提供的最新服务。 雅虎通 雅虎通(Yahoo! Messenger)是一种免费的消息服务,它允许用户与朋友、家人、同事及其他人进行即时的交流。使用即时消息可以与朋友交谈,并能发现他们何时在线。雅虎通内置了股票、新闻、和记分板等选项卡,这样不论用户在何处浏览因特网,都可以始终监视用户所有个性化信息。使用最新的雅虎通6.0版本,还可以获得1G大小的雅虎邮箱。 雅虎通推出了免费向使用6.0版本的用户提供1G邮箱的服务,并且全部免费发送手机短信,它在免费功能上打出了一张亮牌!而在功能上内置了一搜网的搜索引擎,便于用户即时查找网络资源。 TOM-Skype TOM-Skype是TOM在线和Skype Technologies- S.A.联合推出的互联网语音沟通工具。Tom-skype采用了最先进的P2P 技术,为您提供超清晰的语音通话效果,使用端对端的加密技术,保证通讯的安全可靠。您无需进行复杂的防火墙或者路由等设置,就可以顺利安装轻松上手。TOM-Skype可以免费的直接呼叫全世界的朋友的软件,服务提供商是互联网上免费的电话公司。用户只需要花几分钟时间下载软件、注册TOM-Skype账户,便可以插好耳机、麦克风、或者与TOM-Skype配套的USB电话,开始像打电话一样呼叫朋友了。TOM-Skype的功能和前面五款软件相比,聊天和多媒体功能没有那么丰富,而在它所特有的通话功能技术开发上独树一帜,具有领先的P2P技术、穿透防火墙、安全加密、跨平台使用的特点。 新浪UC 新浪UC是新一代开放式即时通讯娱乐平台,它采用自由变换场景、个性在线心情等人性化设计,配合视频电话、信息群发、文件互传、在线游戏等使用户在聊天的同时能边说、边看、边玩,从而带给用户前所未有的聊天新感觉。 新浪UC的用户则可以根据自己在线时间的长短获得免费的网络硬盘空间,最大支持120M,每天免费发送15条手机短信,并可直接用该账户享受新浪网的游戏、多媒体同学录、聊天室等服务。只要打开UC咨询通还可以即时获得最新的新闻信息,查看天气预报等。

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

五款主流即时通讯软件分析

纵观近年来国内即时通讯软件市场,QQ在较长时间内一直因贴近国人需求而一枝独秀。与此同时,功能越来越强大的MSN Messenger其市场份额也在扩大,Yahoo Messenger也有一定的用户,而在语音通话和界面简洁方面各有特色的Google Talk和Skype,也牢牢抓住了一些网民的心。在接下来的部分中,我们将这5款IM软件进行横向评测。所有参评的软件均已更新到最新版。(Yahoo! Messenger 因8.0 beta 多次安装失败,采用了7.x系列的最新版) 图目前国内用户较常见到的五款主流即时通讯软件 ★联系人容量 Windows Live Messenger 联系人数目从75、150升级到300人,目前是600人,与QQ相当。而Yahoo Messenger在8.0中通过插件,已经可以达到1000人。Google Talk联系人数目和Gmail一样,超过5000,上限未知。Skype联系人上限未见报道,小编多方查询也未知道结果。 500-600的联系人容量对于日常使用来说已经够用,但这个数字经过长时间的积累,也会慢慢耗尽。当然,如果你是IM狂人当然另当别论了。 ★安装程序及安装后文件夹体积 对于功能,当然是强大的好;但是软件体积,还是精简些比较好。QQ和Google Talk在体积方面显然是两个极端:随着QQ功能的逐步增多,比如TM、QQ音乐、3D秀等,腾讯也想把所有的功能都打包进去,自然造成了安装程序和程序文件夹体积的增大。而Google Talk非常简洁,安装文件竟然只有1.3兆,安装后文件夹内居然只有主程序、卸载程序两个文件,可谓精简至极。 图五款IM软件的安装包及安装后体积(单位:兆) 尽管当今宽带普及,用户的硬盘空间也是越来越大,人们大可不必为这几十兆空间太伤头脑;但空间占用超过平均水平太多的IM软件无疑会令相当一批用户感到臃肿。 ★运行内存占用

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

即时通讯软件QQ和微信的比较

即时通讯软件的设计分析 ————QQ与微信的异同与发展趋势 腾讯QQ(简称“QQ”) QQ是腾讯公司开发的一款基于Internet的即时通信(IM)软件。腾讯QQ支持在线聊天、视频电话、点对点断点续传文件、共享文件、网络硬盘、自定义面板、QQ邮箱等多种功能,并可与移动通讯终端等多种通讯方式相连。1999年02月,腾讯正式推出第一个即时通信软件——“OICQ”,后改名为腾讯QQ。QQ在线用户由1999年的2人(2人指马化腾和张志东)到现在已经发展到上亿用户,在线人数超过一亿,是中国目前使用最广泛的聊天软件之一。 微信 微信是一款基于移动场景、为手机而设计的社交应用。所以设计了基于地理位置的功能——查看附近的人,更适合手机端的输入方式——语音输入,更简便的手势操作——摇一摇,基于手机才能使用的功能——通讯录匹配、二维码名片。这一切都利用了手机的特性,为了更符合移动场景。 QQ与微信的相同点 微信与QQ一样都是即时聊天通讯工具,都可以与好友进行线上聊天发表情与分享心情新闻等等。 QQ与微信的不同点 微信是被设计者重塑了的QQ。QQ刚刚出现的时候,也多存在陌生生交流。长时间沉淀,形成了QQ的特色:熟人即时通讯。而微信借助移动IM刚刚起步的大形势,以移动通讯为切入口,有QQ平台推广直接跳过了熟人或者陌生人的区分。如果说两者最大的不同,就是:1、用户人群不同,微信通过智能手机这个媒介过滤了QQ大部分的低端用户; 2、手机QQ是PCQQ在移动端上延伸,而微信是针对智能移动端量身定做,所以智能移动端的属性比较强一些。 因为用户群已经确定,QQ基因难以改变,所以设计者借助大势,通过功能、视觉、交互等等产品的各方面重塑了即时通讯QQ,使微信的定位人群要高端化。分析产品之间的不同,从定位、设计、特色功能着手。 一、定位:人群的定位——QQ是青少年,微信则偏向于白领。这也是腾讯的去年轻化战略的最成功案例。时间定位——QQ是一个即使的通讯工具,直接的说,我们一般聊QQ都是抽出特定的时间,一段时间,而在移动时代,碎片的化时间才是移动的常态,所以,微信比QQ赢在了碎片化。 二、设计:微信区别与手机QQ的也有很多在于设计,①放弃了QQ分组,而直接采用通过拼音索引。其实这符合手机通讯录特点,直接把微信融入到手机中,融入感更强。②微信摒弃了QQ的高度的集成,一个很冗杂的客户端,而以轻便的平台,任凭用户添加,可多可少,符合移动直观的聊天、语音特性。 三、特色功能:QQ作为即时通讯的集大成者,包括在视频聊天、文件传输等扩展上做到了无出其右。微信是社交属性开始融于媒体属性,其公众平台的出现,挤压了不少的微博时间,也为微信做为一个移动端的平台,一个入口做了铺垫,这正式当年QQ走的路,只是,移动端的平台不同,不是简单粗暴的堆叠,而是

:数据选择器设计(实验报告)

实验报告 一、实验目的 熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 二、实验设备 GW48系列SOPC/EDA实验开发系统实验箱一台计算机一台 三、实验内容 1首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。 源程序为: ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = '0' THEN y <= a ; ELSE y <= b ; END IF; END PROCESS; END ARCHITECTURE one ;

图(1 ) 2选1多路选择器的编译图 、图(2) 功能仿真的波形图 图(3 ) 功能引脚图

图(4) 2选1多路选择器的RTL电路 2. 将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图,并将此文件放在同一目录中。并对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以下是程序: 图(5) 双二选一多路选择器的编译图

图(6) 双路数据选择器功能仿真图 图(7) 双二选一多路选择器的引脚锁定图图 5 双2选1多路选择器 图(8) 双路数据选择器RTL电路图

图(9) 编程下载图 3.、引脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5,用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2 和a1 分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5 接1024Hz,clock2 接8Hz 信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。 图(10) 实验电路模式5

实验报告一多路选择器

计算机组成原理实验报告 ——实验一多路选择器的设计与实现 专业:计算机科学与技术(师范) 姓名:XXX 学号: 指导老师: 完成日期:

实验目的 1、回顾多路选择器的原理 2、熟悉Logisim软件的使用方法 3、熟悉ISE软件的开发过程 4、锻炼使用VHDL语言面熟硬件的能力 5、熟悉Digilent Nexy3 FPGA开发板 一、实验内容 用两种方法实现一个两位数据的2选1多路选择器 1、用Logisim软件设计2选1多路选择器并进行仿真 2、使用VHDL语言设计2选1多路选择器,并在ISE环境下进行综合、仿真、调试,并下载到Digilent Nexy3 FPGA开发板进行验证 二、实验过程 第一部分:用Logisim实现2选1多路选择器 Step 1:创建工程 2选1多路选择器的逻辑表达式:Z=(A* S)+ (B*S),由此可知一个2选1多路选择器需要 用到与、或、非三种逻辑门电路 Step 2:添加元件 添加U1、U2、U3、U4四个与门电路,U5一个

非门电路,U6、U7两个或门电路 添加A0、A1、B0、B1、S五个输入端口,Z0、 Z1两个输出端口 完成后如下图所示: Step3:连线 完成后如下图所示:

Step 4:仿真 Step 5:编辑电路外观 Step 6: 电路应用 三、实验结果 第一部分:用Logisim实现2选1多路选择器实验结果 1、表格: 序号 输入输出 A1A0B1B0S Z1Z0(预期)Z1Z0(实际) 1000110101 2001011010 3001110011 4010000101 5100001010

1.2 即时通讯工具的历史及发展趋势

1.2 即时通讯工具的历史与发展趋势 1.2.1 即时通讯工具的发展历史 根据即时通讯私有化至公有化乃至全面普及的时间发展顺序,将其发展历史整理如下: 创作者首次公开发表日期类型Tallkomatic Murray Turoff 1973 单一协议UnixTalk Unix 1983 单一协议Talker Mark Jenks and Todd Krause 1984 单一协议IRC Jarkko Oikarinen 1988 单一协议ICB Sean Carrick Casey 1989 单一协议UnderNet Danny Mitchell 1992 单一协议ICQ Mirabilis 1996.11 单一协议AOL即时通信 (AIM) AOL 1997.5 单一协议mICQ Mattew D. Smith 2001 单一协议Pidgin Mark Spencer 1998.11 多协议腾讯QQ Tencent 1999.2 单一协议Fire Eric Peyton 1999.4.1 多协议Yahoo! Messenger Yahoo! 1999.6.21 双协议Miranda IM Miranda IM project 2000.2.6 多协议Trillian Cerulean Studios 2000.7.1 多协议Psi Justin Karneges 2001 单一协议YSM rad2k 2001 单协议Kadu Kadu团队2001.8 单一协议 Adium Adam Iser Evan Schoenberg 2001.9 多协议 JAJC Mikel Ivanov 2002 单一协议SIM Shutoff Co. 2002 多协议KMess KMess团队2002.4 单一协议

数据选择器_Mutisim仿真实验报告

电气工程学院电工电子教学基地 数字电子技术实验 实验报告 仿真实验1 用数据选择器设计函数发生器 学号:18291035 姓名:陈涟漪 班级:电气1802 成绩: 指导教师:周晖 完成时间:2020年5 月15 日

数字电子技术实验 仿真实验1 用数据选择器设计函数发生器 1 实验任务 使用数据选择器74LS151和适当门电路设计一函数发生器,能够实现4位二进制码数据范围指示功能。要求该函数发生器能区别以下三种情况: (1)0≤X≤4; (2)5≤X≤9; (3)10≤X≤15。 2 实验电路

3 实验步骤 采用A、B、C、D取0或1依次表示这四位二进制码的从高到低位的取值(例如:A=0,B=1,C=0,D=0表示四位二进制码0100)。则对于第一组来说,共有5个四位二进制码包含在其中,用卡诺图表示如下: 化简即得: 同理,也有5个数包含在第二组中,卡诺图如下: 化简即得: 第三组包含了6个数,卡诺图如下:

化简即得: 对以上三个式子都去两次非并利用摩根定律可得: 这样就完成了该问题的逻辑转化。 根据前面对该实验分析所得到的逻辑表达式可以发现,输入变量为A、B、C、D,但是在后面的逻辑运算中它们的“非”都用到了,也就是第一步我们要得到这四个变量的非。然后再进行后面的与非运算。三个输出变量的状态也可以用三个灯泡来表示,这里采用了三个颜色不同的灯泡用以区分。到这里,逻辑图就可以很容易的用Multisim软件模拟出来。 其中,最上面的X1灯泡亮时,表示输入数字在0≤X≤4范围内,X2亮时表示输入数字在5≤X≤9范围内,X3亮时表示输入数字在10≤X≤15范围内。这里还是用了四个开关,每个开关“开”表示1,“关”表示0,四个开关以ABCD的顺序来表示四位二进制数。四位二进制数同上,也有16中情况,这里不做一一展示,只对每一类给出一种模拟结果。

即时通讯工具与人的自由

Vol.33No.1 Jan.2012 第33卷第1期2012年1月赤峰学院学报(汉文哲学社会科学版) Journal of Chifeng University (Soc.Sci )目前国内即时通讯工具竞争异常激烈,以腾讯、MSN 、飞信、阿里旺旺等为代表,它们拥有大批的使用者。随着使用者各种需求的增加,它们也在不断的更新各自的功能,令众多使用者对其更加信赖。 1.腾讯QQ 随着网络的发展QQ 不仅是一个即时通信工具,它已成一种文化现象,俨然也成为一种生活方式。近90%的QQ 使用者,打开电脑的第一时间就会登陆其QQ 查看每日新的留言及信息。它是国产即时通讯工具的先驱,用户量和技术水平均已相对稳定,集图文消息实时发送和接收功能为一体的IM ,还为使用者提供网络硬盘、离线文件存储、QQ 群、游戏社区、音乐中心等功能,均符合使用者的需求。 2.MSN 国外即时通讯市场中,MSN 则拥有大量的用户。而当 MSN 进入中国以后,凭借着自身的优势,以简约的界面、免 骚扰等优势很快在高端商务人群中占据了一席之地。微软技术力量的支持与服务体系是毋庸置疑的,从此方面看, MSN 应属于世界范围内最强势的即时通讯软件。 3.飞信 飞信除了具备聊天软件的基本功能以外,还实现PC 用户与手机用户之间的即时互通,在实质意义上为使用者创造了一个不受约束与限制、安全沟通与交流的通讯平台。 4.阿里旺旺 随着网络购物的兴起,阿里巴巴网同淘宝网推出了阿里旺旺商务软件,方便买卖双方的交流与沟通,其独特性是将注册用户与注册账户相互捆绑,从而保证了买卖双方最大的利益,使之成为安全、公平均有保证的交易平台。 一、即时通讯工具对人的自由的影响(一)中西方对自由观的概述 1.历史上西方的自由观 重视个性的观念是西方社会的特点,因此人们对于自由观念的追寻与探讨也从未间断。中世纪基督教文化中,自由观是同“原罪”、“救赎”这两个基本的神学教义紧密相连的。基督教的自由观摒弃了古希腊的自主性求善原则,因为 人固有的原罪,所以人不可能主动寻求善,自由应该建立在接受性的拯救概念之上,是一种受宗教信条限制的自由观。到了近代法制社会,自由被理解为自主性。既存在认识层面上意志的自由,又存在实践意义上行为的自由。霍布斯对自由的定义是在最广泛意义上不受阻碍,只要一个人能够不受外界的阻碍,按自己的意愿做自己力所能及的事,就是自由的。 在现代化技术飞速发展的今天,自由的内涵日益丰富,同时也被诸多的条例所限制,自由的行为也越加理性。伯林将自由划分为两种一是消极自由,是指一个人的行为不受外在的干预和制约,它是“免于什么”的自由;二是积极自由,是指要“成为什么”的自由。这一时期对于“自由”的探讨己不仅仅停留在理论层面,更多的是从自由行为的实际出发。 2.历史上我国的自由观念 在中国古代传统思想文化,“自由”并没有作为一个独立的问题被提出来。孔子说自己“七十而从心所欲,不逾矩”,他认为随心所欲是有要求的,自由是需要有条件限制的。从道家看来,现实生活中必然存在一定的制约,是不完全自由的,他们注重追求精神上的自由。 19世纪中叶,出现了西学东渐的局面。西方自由观念也 在此时逐渐传入中国,严复指出:“中文自由,常含放诞,态唯,无忌惮诸劣义。然此自是后起附属之话,与初义无涉。初义但云不为外物拘牵而已,无胜义亦无劣义也。”此后我国的自由观在经历了数几千年封建社会约束后,逐渐向注重个性的方向转变,追求个性自由的步伐也开始逐渐加快。 (二)即时通讯工具对人的自由的促进 即时通讯工具的核心是“沟通与交流”,如何为每位使用者提供更加完善、便捷的服务是众多研发者们最关心的问题。实际上,即时通讯工具是人类社会进步的象征,从创建到现在的迅猛发展都充分体现出人的自主和自由,当人类不断向自由世界迈进时,即时通讯工具为我们开辟了新的领域,犹如让我们在纷繁复杂的现实生活之外,寻求到一个世外桃源。它的多功能性可以让我们资源共享,对个人所 即时通讯工具与人的自由 李 旻,李东阳 (成都理工大学 文法学院,成都 610059) 摘要:即时通信,简称“IM ”是(Instant Messaging )的缩写,它是一种使人们能在网上识别在线用户并与他们进行实时交 换消息的技术。随着互联网络的飞速发展,即时通讯工具的功能也在日益丰富,它不再是一个单纯的对话工具,至今已经发展成为一个集各种功能于一体的综合信息平台,也成为生活中不可或缺的一部分。 关键词:即时通讯;人的自由;观念;阻碍中图分类号:G206.2 文献标识码:A 文章编号:1673-2596(2012)01-0116-02 116--

实验三选数据选择器实验报告

实验三选数据选择器实 验报告 集团公司文件内部编码:(TTT-UUTT-MMYB-URTTY-ITTLTY-

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8”的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在 随后弹出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在 What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对 话框中选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save

聊天软件需求分析

编号:__001____________ 版本:____1.0__________ <即时通讯> 需求分析说明书 委托单位:付勇智 承办单位:冰点开发小组 编写:(签名)____李超__________ 2007 年 4 月 11 日 复查:(签名)______聂润声_______ 2007 年 4 月 13 日 批准:(签名)____翁靖凯_________ 2007 年 4 月 13 日

聊天软件需求分析说明书 1.引言 1.1编写目的 本需求规格说明书编写的目的是为了清晰地说明本小组开发《聊天软件》要实现的所有功能。同时也为软件使用者和软件开发者之间建立共同的需求目标,进行一定程度的交流沟通。同时对软件所需实现的功能模块做了全面的描述。它是后续开发工作和验收工作的依据文件。软件使用者和软件开发者以此为共同的基础。 1.2项目背景 随着网络的普及,人类生活越来越依赖网络,人与人之间的交也更多的是在网络上进行,于交流的实时性,即时通讯系统也被越来越多的人所使用。 即时通讯系统除了普通的生活上的交流,也在商业交流中越来越受到重视,它可以是 个很好的与客户之间即时交流的平台,在时间上它要比电子邮件更加具有实时性,而费用相对 电话交流也要经济的多。 在这种环境下,聊天软件作为一种即时通讯工具,得到了很好的发展。 1.3任务描述 1.3.1目标 开发一个即时通讯软件,能够即时发送接受信息,操作简单,能良好的运行。 1.3.2条件与限制 开发时间:三个月 开发环境:J2SE SDK1.5,NetBeans5.5.1,JBuilder2006,MS SQL SERVER 2005 1.3.3 需求 交流者身份的确定,即交流双方需要各自确定允许与对方交流才能交流;交流信息的加密,即不允许他人窃听双方的交流信息;点对点交流(私聊),一次对话的对象只是一个人;同时可以利用本系统形成的P2P(peer to peer,点对点)网络进行用户间的文件传输,进行资源的共享。 2.功能描述

实验三8选1数据选择器实验报告

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8” 的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在随后弹 出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对话框中 选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save As 命 令保存。

四.综合适配 1.选择Processing =>Start Compilation命令,检查发现无程序语法错误。 2.执行Tools =>Netlist Viewer =>RTL Viewe, 生成RTL图。 五.模拟仿真 1.在 File 菜单下,点击 New 命令。在随后弹出的对话框中,切换到 Other Files 页。选中 Vector Waveform File 选项,点击 OK 按钮。 2.选择命令 Edit=>End Time ,时间设置为30000ns , 进入到波形编辑界 面。在 Edit 菜单下,点击Insert Node or Bus… 命令,或在结点名字区连续双击鼠标左键两次,在新出现的框图中点击Node Finder出现结点查找器窗口搜索结点名, 在上一个框图中点击 Node Finder… 按钮后,打开Node Finder 对话框。点击 List 按钮,列出电路所有的端子。点击 >> 按钮,全部加入。点击 OK 按钮,确认。 3.回到 Insert Node or Bus 对话框,点击 OK 按钮,确认。 4.编辑输入激励信号波形.选中 a 信号,在 Edit 菜单下,选择 Value => Clock… 命令。在随后弹出的对话框的 Period 栏目中设定参数为10ns,点击 OK 按钮,重复前面的操作,设置输入信号b的参数为20ns ,c信号的参数为30ns,d信号的参数为40ns,e信号的参数为50ns,f信号的参数为60ns,g信号的参数为70ns,h信号的参数为80ns,输入激励信号波形编辑完毕。同样的,s[0]、s[1]、s[2]的参数分别设置为10ns、20ns、30ns。 5.功能仿真:(1)Processing=>Generate Functional Simulation Netlist

相关主题