搜档网
当前位置:搜档网 › 课程设计-脉搏计

课程设计-脉搏计

课程设计-脉搏计
课程设计-脉搏计

电子技术基础

课程设计报告

题目名称:人体脉搏计

姓名:

学号:

班级:

指导教师:

目录

摘要 (2)

1设计题目及要求 (3)

1.1设计题目 (3)

1.2设计目的 (3)

1.3设计内容及要求 (3)

1.4 脉搏计设计原理及其原理框图 (3)

2 设计方案 (4)

2.1方案背景 (4)

2.1方案提出 (4)

3 电路设计分析 (4)

3.1信号发生与采集 (4)

3.2放大整形电路 (5)

3.2.1放大电路 (5)

3.2.2有源滤波电路 (6)

3.2.3整形电路 (7)

3.3倍频电路 (8)

3.4基准时间产生电路 (10)

3.4.1秒脉冲 (10)

3.4.2 15分频的2分频器 (11)

3.5计数译码显示电路 (12)

3.5.1计数电路 (12)

3.5.2显示译码电路 (13)

3.6控制电路 (15)

3.7实验设计总电路 (16)

4所用元件及实验心得 (16)

4.1元件列表 (16)

4.2实验心得 (17)

5参考文献 (17)

附录 (18)

摘要

随着医学的发展和日常生活中,人们保健意识的提高,脉搏成了一项重要的生命指标,所以,脉搏的测量便成了越来越常见的一项体检项目之一。综合考虑到各个年龄段的脉搏特征(包括强度、速率和节律等),本次课程设计就针对这么一个切合实际的问题而进行的。首先进行仿真,外加一个脉搏信号,利用传感器接受脉搏信号并转换为电脉冲信号,然后将电脉冲信号进行放大,紧接着增大频率(即进行倍频处理),最后进行滤波处理,从而得到效果比较良好的电脉冲信号;与此同时,设计出能产生短时间的控制信号,以控制测量时间(本次设计时用到了施密特触发器);另外还要设计出控制电路,用以保证在基准时间控制下,使倍频后的脉冲信号送到设计的计数、显示电路中。最后将整个电路图合并,便得到了我们期望的仿真电路图,并反复进行调试便可完成仿真。最后我们将仿真电路图拿出来做实物,并将做好的实物进行反复的调试,直到调出正确结果,那么我们的课程设计便是成功地完成了。

关键字:脉搏计脉搏信号倍频滤波基准时间计数器数码管

一、设计题目及要求

1、题目:人体脉搏计

2、设计目的:

1、熟悉脉搏计电路的组成、工作原理和设计方法。

2、掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。

3、熟悉集成电路74LS00、74LS161、74LS48、555定时器、有源滤波电路的特点、用途及主要参数的选择方法。

3、设计要求

为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。

电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。它是用来测量频率较低的小信号。

要求:

(1)实现在15s内测量1min的脉搏数;

(2)用数码管将测得的脉搏数用数字的形式显示;

(3)测量误差小于±4次/min。

注:正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。

4、脉搏计的基本原理及其原理框图

分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是

①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。

②在短时间内(15s内)测出每分钟的脉搏数。

简单脉搏计的框图如下图所示。

图1.1 脉搏计原理框图

二、设计方案

1、方案背景

随着人们生活水平的提高,心脏疾病的发病率呈上升趋势,已成为威胁人类身体健康的杀手之一。因为心脏病的发作具有突发性和随机性,所以为患者进行实时的测量监控已成为必然的趋势。随着电子科技的不断发展,生命科学和信息科学的结合越来越紧密,许多研究人员都投身于人类的健康事业之中。

心率:用来描述心动周期的专业术语,是指心脏每分钟跳动的次数,以第一声音为准。心电信号是一种非常弱且频率较低的信号,一般幅值在0.05-5mv,频率在0.05-100Hz。脉搏波:人体心室周期性的收缩和舒张导致主动脉的收缩和舒张,使血流压力一波的形式从主动脉根部开始沿着整个动脉系统传播,这种波称为脉搏波。脉搏波所呈现出的形态、强度、速率和节律等方面的综合信息,很大程度上反映出人体心血管系统中许多生理病理的血流特征。而心率的测量是一种评价病人生理状况很好的方法,心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量更容易实现特点,在实际应用中得到广泛运用。

2、方案的提出

1、传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。

2、放大与整形电路将传感器的微弱信号放大,整形除去杂散信号。

3、倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。

4、基准时间产生电路产生短时间的控制信号,以控制测量时间。

5、控制电路用以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。

6、计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出来。

7、电源电路按电路要求提供符合要求的直流电源。

上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是l min的脉搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也越大。

三、电路设计与分析

1、信号的发生与采集

脉搏传感器的作用是将脉搏信号转换为响应的电冲信号。脉搏传感器是脉象检测系统中重要的组成部分,其性能的好坏直接影响到后置电路的处理和结果的显示。

根据传感器工作原理,可分为物理传感器和化学传感器二大类:

传感器工作原理的分类中物理传感器应用的是物理效应,诸如压电效应,磁致伸缩效应现象,离化、极化、热电、光电、磁电等效应。被测信号量的微小变化都将转换成电信号。

这里传感器采用了红外光电转换器,作用是通过红外光照射人的手指的血脉流动情况,把脉搏跳动转换为电信号,其原理电路如图3. 1所示。

图3.1中,红外线发光管VD采用TLP521-1,接收三极管V采用TLP521-1。

用Vp=5V的矩形脉冲电源供电,R

1取500 Ω,R

2

取10 KΩ。

图 3. 1

2、放大整形电路

1、放大电路:

由于传感器发出的信号很微弱,只有几毫伏左右,所以采用放大电路实现信号放大作用,由于传感器输出电阻比较高,故放大电路采用了同相放大器,如图3.2.1所示,运放采用了 LM324,电源电压+5V,放大电路的电压放大倍数为 10倍左右。

图 3.2.1

参数的计算如下:

由图3.2.1可知这是同相比例运算电路:

因为运放输入的虚短虚断有:

应设计要求放大倍数在10左右,在这里取16,有:

=16

令R3=10K

求得:R4=150K;

再由平衡电阻知识得:

R5=R3//R4=9.375K;

又由于人体脉搏跳动频率限制,可取C1=100Uf。

2、有源滤波电路

采用了二阶压控有源低通滤波电路,如图3.2.2所示,作用是把脉搏信号中的高频干扰信号去掉,同时把脉搏信号加以放大,考虑到去掉脉搏信号中的干扰尖脉冲,所以有源滤波电路的截止频率为1kHz左右。为了使脉搏信号放大到整形电路所需的电压值,通常电压放大倍数选用1.6倍左右。集成运放采用LM324。

图 3.2.2

电路中既引入了负反馈,又引入了正反馈。当信号频率趋于零时,由于C3的电抗趋于无穷大,因而正反馈很弱;当信号频率趋于无穷大时,由于C4的电抗趋于零,因而Up(s)趋于零。可以想象,只要正反馈引入得当,就可以在f=fo时使电压放大倍数数值增大,又不会因正反馈过强而产生自己振荡。因为同相输入端电位控制由集成运放和R8、R9组成的电压源,故称之为压控电压源滤波电路。

参数计算如下:

应设计要求放大倍数为1.6,即:

Au=1+=1.6;

取R8=20K,即得:

R9=12K。

令R6=R7=R ,C4=C3=C;

由f=:

又因f=1kHz,

取C=22nF ,即得:

R=7.2K。

3、整形电路

经过放大滤波后的脉搏信号仍是不规则的脉冲信号,且有低频干扰,仍不满足计数器的要求,必须采用整形电路,这里选用了施密特触发器作为迟滞电压比较器,如图3.2.3所示,其目的是为了提高抗干扰能力。

5V

图 3.2.3

参数如下:

作为上拉电阻选取R10=1K。

电压传输特性如下图所示:

传输特性

放大整形部分仿真结果:

注:黄色表示整形后的信号。

3、倍频电路

该电路的作用是对放大整形后的脉搏信号进行4倍频,以便在15s内测出l min内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。

倍频电路的形式很多,如锁相倍频器、异或门倍频器等,由于锁相倍频器电

路比较复杂,成本比较高,所以这里采用了能满足设计要求的TTL异或门组成倍频路,如图3.3.1所示。

图 3.3.1

U21A和U21B构成二倍频电路,利用第一个异或门的延迟时间对第二个异或门产生作用,当输入由“0”变成“1”或由“1”变成“0”时,都会产生脉冲输出,输入输出波形如下图3.3.2所示。

图3.3.2倍频器的频率特性

两个二倍频电路就构成了四倍频电路。电容器C的作用是为了增加延迟时间,从而加大而出脉冲宽度。异或门用74LS86。

参数设置如下:

由实验室提供元件可取:C6=C5=0.47μF

R11=150 k

R12=16 k

4倍频电路仿真结果:

4、基准时间产生电路

基准时间产生电路的功能是产生一个周期为30s(即脉冲宽度为15s)的脉冲信号,以控制在15s内完成一分钟的测量任务。实现这一功能的方案很多,我们采用如图3.4.1 的方案。

图3.4.1 基准时间产生电路框图

由框图可知,该电路由秒脉冲发生器、十五分频电路和二分频电路组成。

1、秒脉冲发生器

图3.6.2 555多谐振荡器

参数如下:

电路通过R13、R14充电时有:

T1=0.7(R13+R14)C

通过R14放电有:

T2=0.7R14C

得:

T=T1+T2=0.7(R13+2R14)C

由设计要求得T=1s,取C=47uF。

得:

R13+2R14=30.4K

取R13=400欧,R14=15K.

2、十五分频和二分频器

电路如图2-13所示,由SN74161组成十五进制计数器,进行十五分频,然后用CC4013组成二分频电路,产生一个周期为30s的方波,即一个脉宽为15s的脉冲信号。

5、计数译码显示电路

1、计数电路

由人体脉搏的实际情况,这里选用同步四位十进制计数器74LS160。如果将三片74LS160直接并行连接即可得千进制计数器,三片芯片都为高电平以保证电路的工作。又考虑到人的脉搏数最高为:150次/min,所以采用3位十进制计数器即可(即本次设计采用了3片74LS160组成了3位十进制计数器)。74LS160

2、译码显示

由于74LS160输出的是8421BCD码,所以应选择一个可以讲8421BCD码译成7段输出信号以驱动数码管的芯片,所以本次设计采用集成74LS48七段显示译码器。

附:74LS48 BCD-七段显示译码器芯片简介:

7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。该集成显示译码器设有多个辅助控制端,以增强器件的功能。 7448有3个辅助控制端LT、RBI、BI/RBO,现简要说明如下:

1)、灭灯输入BI/RBO

BI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且BI=0时,无论其它输入端是什么电平,所有各段输入a~g均为0,所以字形熄灭。

2)、试灯输入LT

当LT=0时,BI/RBO是输出端,且RBO=1,此时无论其它输入端是什么状态,所有各段输出a~g均为1,显示字形8。该输入端常用于检查7448本身及显示器的好坏。

3)、动态灭零输入RBI

当LT=1,RBI=0且输入代码DCBA=0000时,各段输出a~g均为低电平,与BCD 码相应的字形熄灭,故称“灭零”。利用LT=1与RBI=0可以实现某一位的“消隐”。此时BI/RBO是输出端,且RBO=0。

为了实现无用0的灭零效果,可将低位的RBI信号接到高位的BI/RBO端。各片7448的LT均接高电平,当第一片的RBI=0且DCBA=0000,所以第一片满足灭零条件,无字形显示,同时输出RBO=0;第一片的RBO与第二片的RBI相连,使第二片也满足灭零条件,无显示并输出RBO=0;同理,第三片的零也熄灭,无显示。74LS48真值表如下表 3.7.2:

表 3.7.2 74LS48真值表电路图如下图 3.7.3:

5V

图 3.7.3

仿真结果:

当输入2Hz 的待测信号,仿真结果为:

6、控制电路

控制电路主要作用主要是控制脉搏信号经放大、整形、倍频后进行计数器的时间,

另外还具有为各部分电路清零等功能 功能介绍:

当开关转向电源端时,脉搏信号不能进入计数器,所以计数器不能对脉搏信号进行计数,且此时数码管保持上一次的测量结果.当把开关转向基准信号端时,当信号由低电平上升为高电平时,通过上升沿有效的边沿触发器将计数器置零,而此时,通过门电路的控制,脉搏信号开始进入计数器并且开始计数。当计数完成后,将开关再转向电源端。如下图 3.8:

图 3.8

7、设计完整电路图见附录:

四、所用元件及实验心得

本次实验由我和队员共同完成,在这个过程中使我受益匪浅。在确定各模块电路的过程中,不但训练了我们查找资料的能力,更是一次很好考验我们用所学的模拟电子技术基础和数字电子技术基础等相关知识来判断电路正确与否的机会。通过此次课程设计的锻炼,自己的动手能力有了很大的提高,查找问题、解决问题的能力也有了相应的进步。

当然,这次试验也让我看到了我们的很多缺陷。首先就是在画原理图的时候没有看清数码管的管脚,以致出现乱码的情况。还有就是在确定方案之前,没有在实验板上认真搭建电路,事前摸清放大模块的工作情况。由于这两次较大的失误使整个设计与制作过程耗时耗力。

总的来说,本次设计有苦也有甜。设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半,因此我们应该在设计前做好充分的准备。同时熟练地掌握课本上的知识,这对试验中出现的问题进行分析解决也是相当重要的。这次设计留给我们印象最深的是要设计一个成功的电路,必须要有耐心,更要有坚持的毅力。

五、参考文献

1.《数字电子技术基础》唐治德主编

2.《模拟电子技术基础》唐治德主编

3.《数字电子技术实验》夏鸣风编

附录:

电子技术基础课程设计报告

5V

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

数电课设报告 电子脉搏计设计

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11) 第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信 号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲 进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉 搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门 再放大方面的应用,选择了方案一。 总结方案:

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

测试技术课程设计脉搏测量仪

《机械工程测试技术》 课程设计 脉搏测量仪的设计 姓名:张峰 学院:机电工程学院 专业:机械设计制造及其自动化班级:2010级本科4班 学号:201015130457 完成日期:2012年12月28日

摘要 医院的护士每天都要给住院的病人把脉记录病人每分钟脉搏数,方法是用手按在病人腕部的动脉上,根据脉搏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒钟时间内心跳的数,再把结果乘以6即得到每分钟的心跳数,即使这样做还是比较费时,而且精度也不高。本文介绍一种用单片机制作的脉搏测量仪,只要人把手指放在传感器内2秒钟就可以精确测量出每分钟脉搏数,测量结果用三位数字显示。 关键词:AT89C2051;单片机;脉搏测量仪

目录 第一章引言 (1) 第二章基本结构模块 (2) 2.1脉搏波检测电路 (2) 2.2脉搏信号拾取电路 (2) 2.3信号放大 (3) 2.4波形整形部分 (5) 第三章整体电路分析 (7) 3.1光发射电路 (7) 3.2光电转换电路 (7) 3.3信号采集及处理系统 (8) 3.4过采样技术的应用 (8) 3.5整体硬件电路设计 (9) 参考文献 (10)

第一章引言 脉搏测量属于检测有无脉博的测量,有脉搏时遮挡光线,无脉搏时透光强,所采用的传感器是红外接收二极管和红外发射二极管。用于体育测量用的脉搏测量大致有指脉和耳脉二种方式。这二种测量方式各有优缺点,指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。 从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和。 处理具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号, 必需经过放大和后级滤波以满足采集的要求。

基于单片机的心率计设计论文

基于单片机的心率计设计 摘要 心率是指单位时间心脏搏动的次数,包含了许多重要的生理、病理信息,特别是与心脑血管相关的信息,是生物医学检测中一个重要的生理指标,也是临床常规诊断的生理指标;因此迅速准确地测量心率便显得尤为重要。随着医疗水平和人们生活水平的提高,快速、准确、便携式心率计便成为一种新的发展趋势,同时伴随着单片机技术的发展,基于单片机的便携式心率计便不失为一个好的选择。 本心率计共有三大部分,分别为:传感器部分、信号处理部分、单片机控制部分。传感器部分采用光电式传感器实现对信号采集;信号处理部分则采用放大、滤波、波形变换等方法实现信号的有效处理;而单片机部分则实现对心率的计数和显示功能。通过这三部分的有效组合初步实现对人体心率的一个有效计数。 信号采集采用光电式传感器通过对手指末端透光度的监测,实现信号的采集;信号放大则采用四运放运算放大器LM324,波形变换采用555定时器构成反向施密特触发器;单片机控制模块则采用AT89C51微处理器和相关元器件通过C语言编程实现计数和显示功能。 关键词:心率,光电式传感器,信号处理,AT89C51

DESIGN OF HEART RATE METER BASED ON MCU ABSTRACT Heart rate is refering to the number in unit time of the heart beating, contains many important physiological and pathological information, especially information associated with cardiovascular, biomedical detection an important physiological indexes, and routine clinical diagnosis of physiological indexes; so quickly and accurately measuring heart rate appears to be particularly important. With the improvement of medical level and people's living standards, rapid, accurate and portable heart rate meter has become a new trend, accompanied by the development of SCM technology, will not be regarded as a good choice of meter based on microcontroller portable heart rate. Heart rate meter consists of three parts, respectively: sensor part, signal processing part, MCU control part. Part of the sensor using photoelectric sensor achieved the signal of the signal acquisition; signal processing part uses the amplification, filtering, waveform transform method to effectively deal with; and part of SCM is to achieve counting on heart rate and display function. Through the effective combination of these three parts, an effective count of human heart rate is realized.. Signals were collected using photoelectric sensor through the monitoring of the degree of light at the end of a finger, to realize the signal acquisition; signal amplification four operational amplifier LM324 operational amplifier is used, the waveform transform the 555 timer constitute reverse Schmitt trigger; MCU control module is used AT89C51 microprocessor and related components by C language programming counting and display function.

简易电子脉搏计设计

简易电子脉搏计的设计 标签: 脉搏计电子设计2009-11-09 11:35 电子脉搏计设计 一、设计任务与要求 为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。 电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的 主要组成部分。它是用来测量频率较低的小信号。 要求: (1)实现在1min内测量脉搏数; (2)用数码管将测得的脉搏数用数字的形式显示; (3)测量误差小于±4次/min。 二、方案设计与论证 1.设计框图 方案一 1)信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号。

2)放大电路把传感器的微弱电流放大,微弱电压放大。可采用高输入阻抗的非门进行放大。 3)低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波的原理,在接至非门的输入与输出之间作为直流偏置电阻上并联一个电容。 4)整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形。 5)定时电路用555定时器组成的单稳态触发器进行1分钟的精确定时。 6)计数、译码、显示用来读出脉搏数,并以十进制数的形式由数码管显示出来。片CD40110有计数译码功能,数码管采用共阴数码管。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍,。为了探索非门再放大方面的应用,选择了方案一。 三、单元电路设计与参数计算 1.信号发生与采集 脉搏传感器的作用是将脉搏信号转换为响应的电冲信号。脉搏传感器是脉象检测系统中重要的组成部分,其性能的好坏直接影响到后置电路的处理和结果的显示。目前典型的脉搏传感器有以下三种:光电类、压阻类和压电类。在这三种

相关主题