搜档网
当前位置:搜档网 › proteus实验

proteus实验

实验一proteus与单片机电路的交互式仿真与调试

1、实验目的

熟练掌握proteus集成开发环境,并掌握proteus与单片机电路进行交互式仿真与调试的方法。

2、实验设备

装有proteus的电脑一台

3、实验内容

1)设计一个基于51单片机的电路,控制P2口连接的led灯的循环亮灭。

2)在keil中编制程序,并在proteus中进行调试。

4、实验步骤

1)在proteus ISIS界面中编辑电路原理图如图1.1所示。

图1.1 电路原理图

2)添加仿真文件。

双击单片机AT89C51,打开其属性编辑框,在“program file”栏中,单击打开按钮,选取后缀名为*.HEX的目标代码文件。在“clock frequency”栏中设置时钟频率为12MHZ。如图1.2、1.3所示。

图1.2 元件编辑对话框

图1.3 添加仿真程序文件

因为仿真运行时的时钟频率是以单片机属性中设置的频率值为准,所以在proteus ISIS 界面中设计电路原理图时,可以略去单片机的时钟电路。另外,复位电路也可略去。对于MCS-51系列单片机而言,在不进行电路电气检测时,EA引脚也可悬空。

2)在proteus仿真界面中单击运行按钮,全速启动仿真。LED灯从上往下依次亮灭,并循环交替。如图1.4所示。

图1.4 仿真运行中的电路

3)在系统全速仿真运行时,单击暂停按钮,然后单击proteus ISIS界面的“Debug”菜单,利用其调试按钮进行调试。

5、参考程序

可参考单片机实验教程实验2程序。

实验二I/O输入输出应用

1、实验目的

1)掌握AT89C51单片机I/O口输入输出的应用方法;

2)掌握单片机驱动7段数码管显示数字的电路设计方法及编程方法。

2、实验设备

装有proteus的电脑一台

3、实验内容

1)利用单片机AT89C51制作一个0—99的手动计数器,用其P2.0—P2.7接一个共阴极数码管,输出显示计数器个位,用P0.0—P0.7接数码管输出显示计数值的十位数。用P3.3引脚外接一轻触开关,要求每按一次按键,计数值加1,当计数值超过99后自动返回0重新开始循环计数。参考电路如图2.1所示。

图2.1 参考电路图

2)编程实现计数器的自动计数,利用按键实现计数暂停、复位功能。

4、实验步骤

1)在proteus ISIS 环境中根据电路图绘制电路。

2)在keil中建立程序文件。并编译源程序,修改程序中的错误直至通过。

3)加载目标代码文件。在priteus中双击AT89C51元件打开编辑元件对话框,设置单片机的频率为12MHz,并加载先前在Keil中编译产生的“.HEX”文件。

4)在proteus菜单栏中,打开“Debug”下拉菜单,选择“Use Remote Debug Monitor”选项,以支持与Keil的联调。

5)进行调试与仿真。仿真结果如图2.2所示。

图2.2 电路仿真图

5、参考程序(实验内容1)

ORG 00H

LJMP START

ORG 30H

START: MOV DPTR,#TABLE ;设置段码表首地址MOV R0,#00H ;计数初值存R0

MOV P0,#3FH

MOV P2,#3FH ;复位时数码管显示0 S1: INC R0

CJNE R0,#100,S2

MOV R0,#00H

S2: JB P3.3,$ ;等待按键

LCALL DELAY ;消抖动延时

JB P3.3,S2

S3: MOV A,R0

MOV B,#10

DIV AB ;分离计数值的个位和十位

MOVC A,@A+DPTR ;差表求数字的七段码值

MOV P0,A

MOV A,B

MOVC A,@A+DPTR

MOV P2,A

JB P3.3,S1 ;等待按键抬起

LJMP S3

DELAY: MOV R5,#20 ;延时10ms

D1: MOV R6,#250

DJNZ R6,$

DJNZ R5,D1

RET

TABLE: DB 3FH,06H,5BH,4FH,66H ;0~9七段码值DB 6DH,7DH,07H,7FH,6FH

END

实验三8×8点阵LED显示

1、实验目的

1)掌握8×8点阵LED显示屏的使用方法;

2)掌握单片机进行拉幕式显示的编程方法。

2、实验设备

装有proteus的电脑一台

3、实验内容

1)利用单片机AT89C51、74LS245制作一个8×8点阵LED显示屏显示电路。在LED点阵中显示一个“×”。

2)编程实现LED显示屏轮流显示0-9的数字,显示方式采用自右向左拉幕式显示。参考电路如下。

图3.1 参考电路图

4、8×8点阵LED元件介绍

由于8×8点阵LED元件引脚没有任何标注,因此在使用之前必须进行引脚测试,以确定行线和列线的顺序及极性。图3-2给出了一种进行引脚测试的方法,根据测试结果便很容易确定该元件的电路接法。

图3-2 8×8点阵LED引脚测试

5、参考程序

(1)实验内容1参考单片机实验教程实验10程序。

(2)实验内容2参考程序

R_CNT EQU 31H

NUMB EQU 32H

TCOUNT EQU 33H

ORG 00H

LJMP START

ORG 0BH

LJMP INT_T0

ORG 30H

START: MOV R0,#00H ;显示的幕次(即每一幕显示的行码起始序号)置0 MOV R_CNT,#00H ;列码序号置0

MOV NUMB,#00H ;行码序号置0

MOV TCOUNT,#00H

MOV TMOD,#01H

MOV TH0,#(65536-5000)/256 ;定时5ms

MOV TL0,#(65536-5000)MOD 256

SETB T R0

MOV IE,#82H

SJMP $

INT_T0: MOV TH0,#(65536-5000)/256

MOV TL0,#(65536-5000)MOD 256

MOV DPTR,#TAB ;取列码表首地址

MOV A,R_CNT

MOVC A,@A+DPTR

MOV P3,A

MOV DPTR,#NUB ;取行码表首地址

MOV A,NUMB

MOVC A,@A+DPTR

MOV P0,A ;输出行码

INC NUMB

NEXT1: INC R_CNT

MOV A,R_CNT

CJNE A,#8,NEXT2

MOV R_CNT,#00H

MOV NUMB,R0

NEXT2: INC TCOUNT

MOV A,TCOUNT

CJNE A,#40,NEXT4 ;每个数字显示200ms。必须在TCOUNT数值是8的整数倍时再进行下面的移位操作,否则显示衔接时会乱。

MOV TCOUNT,#00H

INC R0 ;上一幕显示行码的起始序号+1

CJNE R0,#88,NEXT3

MOV R0,#00H

NEXT3: MOV NUMB,R0 ;送新一幕显示行码的起始序号

NEXT4: RETI

TAB: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,7FH

NUB: DB 00H,00H,00H,00H,00H,00H,00H,00H ;空

DB 00H,00H,3EH,41H,41H,41H,3EH,00H ;0

DB 00H,00H,00H,00H,21H,7FH,01H,00H ;1

DB 00H,00H,27H,45H,45H,45H,39H,00H ;2

DB 00H,00H,22H,49H,49H,49H,36H,00H ;3

DB 00H,00H,0CH,14H,24H,7FH,04H,00H ;4

DB 00H,00H,72H,51H,51H,51H,4EH,00H ;5

DB 00H,00H,3EH,49H,49H,49H,26H,00H ;6

DB 00H,00H,40H,40H,40H,4FH,70H,00H ;7

DB 00H,00H,36H,49H,49H,49H,36H,00H ;8

DB 00H,00H,32H,49H,49H,49H,3EH,00H ;9

DB 00H,00H,00H,00H,00H,00H,00H,00H ;空

END

实验四单片机与步进电机的接口技术

1、实验目的

1)强化对步进电机工作原理的理解;

2)掌握用单片机控制步进电机时的接口电路设计方法;

3)掌握对步进电机进行控制的编程方法。

2、实验设备

装有proteus的电脑一台

3、实验内容

利用单片机AT89C51单片机控制步进电机的启/停、正转与反转。参考电路如下。

图4.1 参考电路图

4、步进电机元件介绍

Proteus软件中的单极性步进电机元件为6线制,其原理图及属性编辑框如图4.2所示,各属性值可根据需要修改。本实验中所设置的属性值如图4-2所示。

图3-2 8×8点阵LED引脚测试

5、参考程序

ORG 00H

AJMP START

ORG 100H

START: MOV DPTR,#TAB1

MOV R0,#03H

MOV R4,#0

WAIT: MOV P1,R0 ;初始角度为0度

MOV P2,#0FFH

JNB P2.0,POS ;判断键盘

JNB P2.1,NEG

SJMP WAIT

JUST: JB P2.1,NEG

POS: MOV A,R4 ;正转45度

MOVC A,@A+DPTR

MOV P1,A

ACALL DELAY

INC R4

AJMP KEY

NEG: MOV R4,#6 ;反转45度

MOV A,R4

MOVC A,@A+DPTR

MOV P1,A

ACALL DELAY

AJMP KEY

KEY: MOV P2,#3H ;读键盘

JB P2.0,FZ1

CJNE R4,#7,LOOPZ ;是结束标志

MOV R4,#0FFH

LOOPZ: INC R4 ;地址加1

MOV A,R4

MOVC A,@A+DPTR

MOV P1,A ;输出控制脉冲

ACALL DELAY ;程序延时

AJMP KEY

FZ1: JB P2.1,KEY

CJNE R4,#0H,LOOPF ;是结束标志

MOV R4,#08H

LOOPF: DEC R4

MOV A,R4

MOVC A,@A+DPTR ;输出控制脉冲

MOV P1,A

ACALL DELAY ;程序延时

AJMP KEY

DELAY: MOV R6,#5

DD1: MOV R5,#80H

DD2: MOV R7,#0

DD3: DJNZ R7,DD3

DJNZ R5,DD2

DJNZ R6,DD1

RET

TAB1: DB 02H,06H,04H,0CH

DB 08H,09H,01H,03H ;正转模型

END

实验五数字电压表实验

1、实验目的

1)掌握A/D转换器件ADC0808接口电路的设计方法;

2)掌握测量数据处理过程中数值的量程转换方法;

3)体会A/D转换器的位数对测量精度的影响。

2、实验设备

装有proteus的电脑一台

3、实验内容

(1)利用单片机AT89C51与A/D转换器件ADC0808设计一个数字电压表,能够测量0-5V之间的直流电压值,并用4位数码管实时显示该电压值。参考电路如下。

图5.1 参考电路图

(2)在实验内容(1)的基础上设计一个三路电压测量电路,测量值采用数码管轮流显示或利用LCD进行显示。参考电路如下。

图5.2 3路电压测量+LCD显示电路5、参考程序

(1)实验内容1程序

LED_0 EQU 30H ;个位

LED_1 EQU 31H ;十位

LED_2 EQU 32H ;百位

LED_3 EQU 33H ;存放千位段码

ADC EQU 35H

CLOCK BIT P1.4 ;定义0809时钟位

ST BIT P1.5

EOC BIT P1.6

OE BIT P1.7

ORG 00H

SJMP START

ORG 0BH

LJMP INT_T0

ORG 30H

START: MOV LED_0,#00H

MOV LED_1,#00H

MOV LED_2,#00H

MOV DPTR,#TABLE ;段码表首地址

MOV TMOD,#02H

MOV TH0,#245

MOV TL0,#00H

MOV IE,#82H

SETB T R0

WAIT: CLR ST

SETB S T

CLR ST ;启动AD转换

JNB EOC,$ ;等待转换结果

SETB O E

MOV ADC,P2 ;读取AD转换结果

CLR OE

MOV A,ADC ;AD转换结果转换成BCD码

MOV R7,A

MOV LED_3,#00H

MOV LED_2,#00H

MOV A,#00H

LOOP1: ADD A,#20H ;一位二进制码对应20mV电压值DA A

JNC LOOP2

MOV R4,A

INC LED_2

MOV A,LED_2

CJNE A,#0AH,LOOP4

MOV LED_2,#00H

INC LED_3

LOOP4: MOV A,R4

LOOP2: DJNZ R7,LOOP1

ACALL BTOD1

LCALL DISP

SJMP WAIT

ORG 200H

BTOD1: MOV R6,A

ANL A,#0F0H

MOV R5,#4

LOOP3: RR A

DJNZ R5,LOOP3

MOV LED_1,A

MOV A,R6

ANL A,#0FH

MOV LED_0,A

RET

INT_T0: CPL CLOCK ;提供0809时钟信号

RETI

DISP: MOV A,LED_0 ;显示子程序

MOVC A,@A+DPTR

CLR P1.3

MOV P0,A

LCALL DELAY

SETB P1.3

MOV A,LED_1

MOVC A,@A+DPTR

CLR P1.2

MOV P0,A

LCALL DELAY

SETB P1.2

MOV A,LED_2

MOVC A,@A+DPTR

CLR P1.1

MOV P0,A

LCALL DELAY

SETB P1.1

MOV A,LED_3

MOVC A,@A+DPTR

CLR P1.0

MOV P0,A

LCALL DELAY

SETB P1.0

RET

DELAY: MOV R6,#10 ;延时5ms

D1: MOV R7,#250

DJNZ R7,$

DJNZ R6,D1

RET

TABLE: DB 3FH,06H,5BH,4FH,66H ;共阴数码管7段值DB 6DH,7DH,07H,7FH,6FH

END

(2)实验内容2程序

#include

#include

/*公用函数---------------------------------------------------------*/

#define uchar unsigned char

#define uint unsigned int

uchar chnn;

#define IN0 XBYTE[0x7ff8+chnn]

unsigned char TempBuffer[10];

unsigned int temp;

unsigned char getdata; //存放读入数据

/*LCD1602----------------------------------------------------------*/

#define Data P2 //数据端口

sbit LcdRs=P3^1;

sbit LcdRw=P3^3;

sbit LcdEn=P3^4;

/*ADC0808----------------------------------------------------------*/

sbit EOC = P3^2; //忙信号

sbit Clk = P3^5; //时钟信号

/******************************************************************/ /* lcd1602 */ //ms函数***********************************************************/ void delayms(unsigned int ms){

unsigned int i,j;

for(i=0;i

for(j=0;j<125;j++);

}

//us函数************************************************************/ void delayus(uint us){

while(us--);

}

//写指令************************************************************/ void Lcd_Com(unsigned char com){

delayms(1);

LcdRs=0;LcdRw=0;LcdEn=0;

Data=com;

delayms(1);LcdEn=1;

delayms(1);LcdEn=0;

}

//写数据************************************************************/ void Lcd_Dat(unsigned char dat){

delayms(1);

LcdRs=1;LcdRw=0;LcdEn=0;

Data=dat;

delayms(1);LcdEn=1;

delayms(1);LcdEn=0;

}

//初始化************************************************************/

void lcd_init(){

delayms(15);

Lcd_Com(0x38);delayms(5);

Lcd_Com(0x08);delayms(5);

Lcd_Com(0x01);delayms(5);

Lcd_Com(0x06);delayms(5);

Lcd_Com(0x0c);delayms(5);

}

//X Y 数据************************************************************/ void Lcd_Char(unsigned char X,unsigned char Y,unsigned char Recdata){ unsigned char address;

if (Y == 0) address = 0x80 + X;

else address = 0xc0 + X;

Lcd_Com(address);

Lcd_Dat(Recdata);

}

//显示****************************************************************/ int Lcdplay(unsigned char *Data,int pos){

while((*Data)!='\0'){

switch(*Data){

default:{

Lcd_Char(pos%16, pos/16,*Data);

pos++;

break;

}

}

Data++;

}

}

/******************************************************************/

/* ADC0808 */ //CLOCK时钟信号****************************************************/ void Time(void) interrupt 3 using 0{

TH1=(65536-200)/256;

TL1=(65536-200)%256;

Clk=~Clk;

}

//频率500HZ********************************************************/ void TimeInitial(){

TMOD=0x10;

TH1=(65536-200)/256;

TL1=(65536-200)%256;

EA=1; ET1=1; TR1=1;

}

//数据转换********************************************************/ uchar ad_action(uchar chn){

uchar read_data;

chnn=chn;

IN0=chn;

delayus(15);

read_data=IN0;

return read_data;

}

//数据转换********************************************************/ void IntToStr(unsigned int t, unsigned char *str){

unsigned char dispdata[4]; char i, j;

dispdata[0]=(t/1000)%10; //取得整数值到数组

dispdata[1]=(t/100)%10;

dispdata[2]=(t/10)%10;

dispdata[3]=(t/1)%10;

for(i=0; i<4; i++) //转成ASCII码

dispdata[i]=dispdata[i]+'0';

for(i=0; dispdata[i]=='0' && i<=2; i++);

for(j=0; j

for(; i<4; i++){ *str=dispdata[i]; str++; } //加入有效的数字*str='\0';

}

/******************************************************************/

/* 主程序*/

//主程序***********************************************************/ void main(){

unsigned char p;

unsigned char xdata *ad_adr;

lcd_init();

Lcdplay("X",0);

Lcdplay("Y",8);

Lcdplay("Z",16);

ad_adr = &IN0;

TimeInitial();

while(1){

*ad_adr = 0; p=p; p=p;

while(EOC==0);

IntToStr(ad_action(0)*1.0/255*100,&TempBuffer[0]);

Lcdplay(&TempBuffer[0],1);

IntToStr(ad_action(1)*1.0/255*100,&TempBuffer[1]);

Lcdplay(&TempBuffer[1],9);

IntToStr(ad_action(2)*1.0/255*100,&TempBuffer[2]);

Lcdplay(&TempBuffer[2],17);

}

}

proteus实验例子8253计时器

proteus实验例子8253计时器 篇一:实验八可编程定时计数器8253的Proteus仿真实验实验八可编程定时/计数器8253的Proteus仿真实验 一、实验要求 利用 8086 外接8253 可编程定时/计数器,可以实现方波的产生。 二、实验目的 1、学习8086 与8253 的连接方法。 2、学习8253 的控制方法。 3、掌握8253 定时器/计数器的工作方式和编程原理 三、实验电路及连线 1、Proteus 实验电路 2、硬件验证实验 硬件连接表 四、实验说明 1、8253 芯片介绍 8253 是一种可编程定时/计数器,有三个十六位计数器,其计数频率范围为0-2MHz,用+5V 单电源供电。 2、8253的功能用途: (1)延时中断 (2)可编程频率发生器 (3)事件计数器

(4)二进制倍频器 (5)实时时钟 (6)数字单稳 (7)复杂的电机控制器 3、8253 的六种工作方式: (1)方式0:计数结束中断 (2)方式l:可编程频率发生 (3)方式2:频率发生器 (4)方式3:方波频率发生器 (5)方式4:软件触发的选通信号 (6)方式5:硬件触发的选通信号 五、实验程序流程图 六、实验步骤 1、Proteus 仿真 a.在 Proteus 中打开设计文档“8253_STM.DSN”; b.建立实验程序并编译,仿真; c.如不能正常工作,打开调试窗口进行调试。 参考程序: CODE SEGMENT;H8253.ASM ASSUME CS:CODE START: JMP TCONT

TCONTROEQU0A06H TCON0 EQU0A00H TCON1 EQU0A02H TCON2 EQU0A04H TCONT: MOV DX,TCONTRO MOV AL,16H ;计数器0,只写计算值低8 位,方式3,二进制计数 OUT DX,AL MOV DX,TCON0 MOV AX,20 ;时钟为1MHZ,计数时间=1us*20=20us,输出频率50KHZ OUT DX,AL JMP $ CODE ENDS END START 2、实验板验证 a.通过USB 线连接实验箱 b.按连接表连接电路 c.运行PROTEUS 仿真,检查验证结果 篇二:基于Proteus的单片机计时器设计 基于Proteus的单片机计时器设计 和丽花 :《电子世界》20XX年第15期

单片机定时器的应用proteus仿真实验报告总结

单片机定时器的应用Proteus仿真实验报告总结 1. 背景 单片机定时器是嵌入式系统中常用的功能模块之一,它可以精确地控制时间和频率,广泛应用于各种计时、测量、通信等领域。本次实验使用Proteus软件进行仿真,通过编程控制单片机定时器的工作模式和参数,验证其在不同场景下的应用效果。 2. 分析 2.1 实验目标 本次实验主要目标是熟悉单片机定时器的工作原理和编程方法,并通过Proteus仿真验证程序的正确性和性能。 2.2 实验内容 本次实验分为以下几个部分: 1.简单定时器:设置一个固定时间间隔,在每个时间间隔结束时触发一个中断。 2.定时测量:使用计数器模式测量一个外部事件的时间间隔。 3.PWM输出:使用PWM模式生成一个可调节占空比的脉冲信号。 4.输入捕获:通过输入捕获模式获取外部事件的时间戳。 2.3 设备与材料 •Proteus软件 •单片机开发板 •连接线等辅助材料 2.4 实验步骤 1.搭建仿真环境:在Proteus中选择合适的单片机模型,并与其他外部模块连 接,如LED、按键等。 2.编写程序:根据实验要求,使用C语言编写相应的程序,包括定时器配置、 中断处理等。

3.仿真验证:将程序烧录到单片机中,并在Proteus中运行仿真,观察定时器 的工作情况和输出结果。 4.结果分析:根据实验结果进行分析和总结,评估定时器的性能和可靠性。 3. 结果 3.1 简单定时器 在简单定时器实验中,我们设置了一个固定的时间间隔为1秒,在每个时间间隔结束时触发一个中断。通过LED灯闪烁来表示定时器的工作状态。经过仿真验证,LED灯每隔1秒闪烁一次,符合预期效果。 3.2 定时测量 在定时测量实验中,我们使用计数器模式测量了一个外部事件(按下按键)的时间间隔。通过读取计数器的值,并转换为时间单位,可以得到精确的测量结果。经过仿真验证,在按下按键后,计数器开始计时,松开按键后计数器停止,并输出测量结果。实验结果表明,测量结果与预期值非常接近,说明定时器具有较高的精度和稳定性。 3.3 PWM输出 在PWM输出实验中,我们使用PWM模式生成了一个可调节占空比的脉冲信号。通过改变占空比的值,可以控制脉冲信号的高电平时间和低电平时间。经过仿真验证,在不同的占空比下,脉冲信号的波形变化符合预期,并且占空比与设置值之间存在线性关系。这说明定时器能够准确地控制脉冲信号的频率和占空比。 3.4 输入捕获 在输入捕获实验中,我们通过输入捕获模式获取了一个外部事件(按下按键)的时间戳。通过读取捕获寄存器中的值,并转换为时间单位,可以得到事件发生的精确时间。经过仿真验证,在按下按键后,定时器会立即记录下当前时间,并输出时间戳。实验结果表明,时间戳与预期值非常接近,并且满足要求的精度和准确性。 4. 建议 根据本次实验结果和分析,我们对单片机定时器的应用提出以下建议:

Proteus电子钟仿真实验高清版

Proteus 仿真大赛 电 子 时 钟 仿 真

第一章电子时钟总体设计 电子时钟简介 电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合都用到电子时钟。 很多单片机产品具有实时时钟的功能,例如智能化仪器仪表、工业过程系统及家用电器等。这里要求实现一个具有实时时钟显示和闹钟控制功能的数字钟。通过数字钟的设计与制作,将前面所学的单片机内部定时资源、I/O端口、键盘和显示接口等知识融会贯通,锻炼独立设计、制作和调试应用系统的能力,深入领会单片机应用系统的硬件设计、模块化程序设计及软硬件调试方法等,并掌握单片机应用系统的开发过程。 电子钟设计要求 设计并制作具有如下功能的数字钟: (1)自动计时,由6位LED先四起显示时、分、秒。 (2)具备校准功能,可以设置当前时间。 (3)具备定时启动功能,可以设置闹钟时间,启闹10s后自动关闭闹铃。 电子钟计时方案 (1)采用实时时钟芯片。针对应用系统对实时功能的普遍需求,各大芯片生产厂家陆续推出了一系列实时时钟集成电路,如DS1287、DS12887、DS1302、PCF8563、S35190等。这些实时时钟芯片具备年、月、日、时、分、秒、计时功能和多点定时功能,计时数据每秒自动更新一次,不需程序干预。单片机可通过中断或查询方式读取计时数据。实时时钟芯片的计时功能无须占用CPU时间,功能完善,精度高,软件程序设计相对简单,在实时工业测控系统中多采用这一类专用芯片来实现。 (2)软件控制。利用AT89S51内部定时/计数器进行中断定时,配合软件延时、分、秒的计时。该方案节省硬件成本,且能够使读者对前面所学知识进行综合运用,因此,本系统设计采用这一方案。 电子钟显示方案 (1)利用串行口扩展LED,实现LED静态显示。 该方案占用单片机资源少,且静态显示亮度高,但硬件开销大,电路复杂,信息刷新速度慢,比适用于单片机并行口资源较少的场合。 (2)利用单片机并行I/O端口,实现LED动态显示。

Proteus实验指导书单片机

Proteus 7 Professional 仿真单片机入门教程 初学单片机时,拿着一块实验板发呆,电路也不懂、程序也不懂,只好慢慢弄,等弄懂了,实验板也差不多报销了。而Proteus 正好可以解决这个问题,它功能强大,这里只讨论仿真MCU 的功能。它可以在原理图上仿真,不用出PCB 板。一、Proteus 6 Professional界面简介 上图是启动后的画面。 下面简单介绍各部分的功能: 1.原理图编辑窗口(The Editing Window):顾名思义,它是用来绘制原理图的。蓝色方框内为可编辑区,元件要放到它里面。注意,这个窗口是没有滚动条的,你可用预览窗口来改变原理图的可视范围。

2.预览窗口(The Overview Window):它可显示两个内容,一个是:当你在元件列表中选择一个元件时,它会显示该元件的预览图;另一个是,当你的鼠标焦点落在原理图编辑窗口时(即放置元件到原理图编辑窗口后或在原理图编辑窗口中点击鼠标后),它会显示整张原理图的缩略图,并会显示一个绿色的方框,绿色的方框里面的内容就是当前原理图窗口中显示的内容,因此,你可用鼠标在它上面点击来改变绿色的方框的位置,从而改变原理图的可视范围。 3.模型选择工具栏(Mode Selector Toolbar): 主要模型(Main Modes): 1* 选择元件(components)(默认选择的) 2* 放置连接点 3* 放置标签(用总线时会用到) 4* 放置文本 5* 用于绘制总线 6* 用于放置子电路 7* 用于即时编辑元件参数(先单击该图标再单击要修改的元件) 配件(Gadgets): 1* 终端接口(terminals):有VCC、地、输出、输入等接口 2* 器件引脚:用于绘制各种引脚 3* 仿真图表(graph):用于各种分析,如Noise Analysis 4* 录音机 5* 信号发生器(generators) 6* 电压探针:使用仿真图表时要用到 7* 电流探针:使用仿真图表时要用到 8* 虚拟仪表:有示波器等

proteus实验报告

皖西学院 专业软件实验报告 实验课程 : PROTUES专业软件 学院 : 机械与电子工程 专业 : 电子信息科学与技术 班级 : 电信1002 姓名 : 孙慧慧 学号 : 2010010780 指导老师 : 翁志刚 二O一二年十一月

目录 ?实验一:PROTUES软件的安装及其运行的环境?实验二:利用PROTUES绘制简单的电路原理图?实验三:基于PROTUES的仿真实验 ?实验四:利用PROTUES做PCB ?实验小结及心得体会

实验一:PROTUES软件的安装及其运行的环境一﹑实验目的 1﹑熟悉PROTUES集成环境软件 2﹑掌握PROTUES专业软件的安装方法 3﹑熟悉PROTUES运行的环境 二﹑实验设备 pc机,protues集成软件安装包 三﹑实验步骤 >>软件的安装 1. 解压安装文件,找到protues7.5SP3.exe并打开,如图所示: 2.点击“YES”,进入下一步: 3.点击“Next”,下一步:

4.点击“Next”,下一步: 5.点击“Browse For Key File”,装入刚刚解压的“完美破解”的路径,确 定,将出现: 6.点击“install”; 7.点击“是”,然后点击“CLOSE”

8.点击“next”, 9.需要改安装路径就改改,然后点击“next”,不用PCB,则将第二个图标不选,然后一直点“next”到完成。 10.安装完成后需要导入钥匙,点击解压的文件如下图 11.点击“browser”,将刚才的安装路径导进去

12.安装路径 13.导进去后,点击“update”,若失败就是路径不正确,重新导入路径,完成后点击“CLOES”,安装完成。 >>运行环境 1.ISIS 7 Professional 运行的界面 2.元件的拾取 (1)按类别查找和拾取

proteus实验

实验一proteus与单片机电路的交互式仿真与调试 1、实验目的 熟练掌握proteus集成开发环境,并掌握proteus与单片机电路进行交互式仿真与调试的方法。 2、实验设备 装有proteus的电脑一台 3、实验内容 1)设计一个基于51单片机的电路,控制P2口连接的led灯的循环亮灭。 2)在keil中编制程序,并在proteus中进行调试。 4、实验步骤 1)在proteus ISIS界面中编辑电路原理图如图1.1所示。 图1.1 电路原理图 2)添加仿真文件。 双击单片机AT89C51,打开其属性编辑框,在“program file”栏中,单击打开按钮,选取后缀名为*.HEX的目标代码文件。在“clock frequency”栏中设置时钟频率为12MHZ。如图1.2、1.3所示。

图1.2 元件编辑对话框 图1.3 添加仿真程序文件 因为仿真运行时的时钟频率是以单片机属性中设置的频率值为准,所以在proteus ISIS 界面中设计电路原理图时,可以略去单片机的时钟电路。另外,复位电路也可略去。对于MCS-51系列单片机而言,在不进行电路电气检测时,EA引脚也可悬空。 2)在proteus仿真界面中单击运行按钮,全速启动仿真。LED灯从上往下依次亮灭,并循环交替。如图1.4所示。

图1.4 仿真运行中的电路 3)在系统全速仿真运行时,单击暂停按钮,然后单击proteus ISIS界面的“Debug”菜单,利用其调试按钮进行调试。 5、参考程序 可参考单片机实验教程实验2程序。

实验二I/O输入输出应用 1、实验目的 1)掌握AT89C51单片机I/O口输入输出的应用方法; 2)掌握单片机驱动7段数码管显示数字的电路设计方法及编程方法。 2、实验设备 装有proteus的电脑一台 3、实验内容 1)利用单片机AT89C51制作一个0—99的手动计数器,用其P2.0—P2.7接一个共阴极数码管,输出显示计数器个位,用P0.0—P0.7接数码管输出显示计数值的十位数。用P3.3引脚外接一轻触开关,要求每按一次按键,计数值加1,当计数值超过99后自动返回0重新开始循环计数。参考电路如图2.1所示。 图2.1 参考电路图 2)编程实现计数器的自动计数,利用按键实现计数暂停、复位功能。 4、实验步骤 1)在proteus ISIS 环境中根据电路图绘制电路。 2)在keil中建立程序文件。并编译源程序,修改程序中的错误直至通过。 3)加载目标代码文件。在priteus中双击AT89C51元件打开编辑元件对话框,设置单片机的频率为12MHz,并加载先前在Keil中编译产生的“.HEX”文件。 4)在proteus菜单栏中,打开“Debug”下拉菜单,选择“Use Remote Debug Monitor”选项,以支持与Keil的联调。 5)进行调试与仿真。仿真结果如图2.2所示。

proteus实验报告

Proteus专业应用软件训练总结报告 实验项目 项目一:Proteus的基本操作与原理图绘制 一任务说明: 1.掌握Proteus仿真软件的的安装与破解 2.初步认识Proteus的运行环境及操作界面 3.画出所给电路图并进行仿真演示 二Proteus软件的安装及原理图绘制: 1.Proteus软件的安装方法 (1)首先解压安装文件,找到; (2)点击“YES”,进入下一步,然后一直点击“Next”,下一步,直到出现如图所示的添加License界面; (3)点击“Browse For Key File”,装入刚刚解压的“完美破解”的路径; (4)点击“install”,点击“是”,然后点击“CLOSE”; (5)点击“next”,需要改安装路径就改改,然后点击“next”,若不用PCB,则将第二个图标不选,然后一直点“next”到完成。 (6)安装完成后需要导入钥匙,点击解压的文件如下图 (7)、点击“browser”,将刚才的安装路径导进去 (8)、导进去后,点击“update”,若失败就是路径不正确,重新导入路径,完成后点击“CLOES”,安装完成。 2.Proteus软件的原理图绘制 Proteus软件成功安装之后,打开Proteus下的ISIS功能模块,则进入了原理图的绘制和仿真界面。首先要了解各个功能区域的操作和功能: (1)编辑区域的缩放 Proteus编辑区域是放置电器元件和绘制原理图的区域,它的缩放操作多种多样,极大地方便了工程项目的设计。常见的几种方式有:完全显示、放大按钮和缩小按钮,拖放、取景、找中心。

编辑区域的点状栅格,是为了方便元器件定位用的。鼠标指针在编辑区域移动时,移动的步长就是栅格的尺度,。这个功能可使元件依据栅格对齐。鼠标移动的过程中,在编辑区的下面将出现栅格的坐标值,即坐标指示器,它显示横向的坐标值。 (2)对象的放置和编辑 Proteus中元件的放置是绘制电路图的基础。 对象的添加和放置点击工具箱的元器件按钮,进入元器件模式,再点击IsIs对象选择器左边中间的置P按钮,出现“Pick Devices”对话框,拾取需要的元件。 放置电源及接地符号 单击工具箱的终端按钮或鼠标在编辑区域中右击,选择place进入终端模式,对象选择器中将出现一些接线端,在器件选择器里栏下的“POWER”与“GROUND”,再将鼠标移到原理图编辑区,左键点击一下即可放置电源符号;同样也可以把接地符号放到原理图编辑区。如图1所示: 图.1 对象的编辑分为调整对象的位置和放置方向以及改变元器件的属性等,有选中、删除、拖动等基本操作。 ①拖动标签:许多类型的对象有一个或多个属性标签附着。可以很容易地移动这些标签使电路图看起来更美观。移动标签的步骤如下:首先点击右键选中对象,然后用鼠标指向标签,按下鼠标左键。一直按着左键就可以拖动标签到需要的位置,释放鼠标即可。 ②对象的旋转:许多类型的对象可以调整旋转为0、90、270、360(角度)或通过x轴y轴镜象旋转。当该类型对象被选中后,“旋转工具按钮”图标会从蓝色变为红色,然后就可以改变对象的放置方向。旋转的具体方法是:首先点击右键选中对象,然后根据你的要求用鼠标左键点击旋转工具的4个按钮。 ③编辑对象的属性:对象一般都具有文本属性,这些属性可以通过一个对话框进行编辑。编辑单个对象的具体方法是:先用鼠标右键点击选中对象,然后用鼠标左键点击对象,此时出现属性编辑对话框。也可以点击工具箱的按钮,再点击对象,也会出现编辑对话框。在电阻属性的编辑对话框里,可以改变电阻的标号、电阻值、PCB 封装以及是否把这些东西隐藏等,修改完毕,点击“OK”按钮即可。 (3)导线、总线以及节点的放置

Proteus硬件仿真(单片机控制流水灯)实 验 报 告

湖州师院 Proteus硬件仿真(单片机控制流水灯)实验报告姓名汪健雷班级092833学号07083438 一、实验目的 1、了解proteus软件,掌握Proteus在单片机仿真中的应用,为 开发、调试单片机应用系统做准备。 2、熟练掌握isis环境电路图的建立(流水灯电路图) 二、实验仪器 1、PC机 2、proteus仿真软件 三、实验内容 1、选择元器件,画出电路图,学会硬件的设计 2、在仿真软件上实现相应的功能 四、实验步骤 1、软件打开 2、双击桌面上的ISIS 7 Professional图标或者单击屏幕左下方的 “开始”→“程序”→“Proteus 7 Professional”→“ISIS 7 Professional”,出现如图所示界面,随后就进入了Proteus ISIS集成环境。

2、Proteus ISIS的工作界面是一种标准的Windows界面,如图1-2 所示。包括:标题栏、主菜单、标准工具栏、绘图工具栏、状态栏、对象选择按钮、预览对象方位控制按钮、仿真进程控制按钮、预览窗口、对象选择器窗口、图形编辑窗口。 3、添加元器件 将所需元器件加入到对象选择器窗口。Picking Components into the Schematic单击对象选择器按钮,根据元件预览区域的显示,双击你所需要的元件,则所选元件出现在原理图编辑环境的元件列表区中,如图所示。用同样的方法找出原理图中需要的所有元件。

4、放置元器件 放置元器件至图形编辑窗口Placing Components onto the Schematic 在对象选择器窗口中,选中AT89C51,将鼠标置于图形编辑窗口该对象的欲放位置、单击鼠标左键,该对象被完成放置。其他元器件放置方法相同。

proteus实验报告

proteus实验报告 Proteus实验报告 引言: Proteus是一款功能强大的虚拟电子电路设计软件,被广泛应用于电子工程领域。通过Proteus,我们可以在计算机上模拟和验证各种电路设计,从而提高电路设计的效率和准确性。本篇实验报告将介绍我在使用Proteus进行实验时的经验 和收获。 实验一:基本电路设计与模拟 在Proteus中,我们可以通过拖拽电子元件和连接它们的引脚来设计电路。首先,我选择了一个简单的LED电路作为实验对象。通过在Proteus中选择LED 和电阻元件,并将它们连接在一起,我成功地设计出了一个基本的LED电路。 接下来,我设置了电源电压和电阻值,然后点击仿真按钮进行模拟。通过观察 仿真结果,我可以清晰地看到LED是否正常工作、电流大小等信息,这对于验 证电路设计的正确性非常有帮助。 实验二:模块化设计与调试 在电子工程中,模块化设计是一种常用的设计方法。通过将电路划分为多个模块,我们可以分别设计和测试每个模块,最后将它们组合在一起形成完整的电路。在Proteus中,我可以使用子电路功能来实现模块化设计。我选择了一个 简单的四位二进制加法器作为实验对象。首先,我设计了一个单独的半加器模块,并对其进行仿真和调试。然后,我将四个半加器模块组合在一起形成完整 的加法器电路,并进行整体仿真。通过这种模块化设计的方法,我可以更加方 便地调试和验证电路的正确性。

实验三:PCB设计与布局 在电子产品的制造中,PCB(Printed Circuit Board)的设计和布局是一个非常 重要的环节。Proteus提供了PCB设计的功能,可以帮助我们将电路设计转化 为实际的PCB板。在Proteus中,我可以选择合适的尺寸和层数,并将电子元 件放置在PCB板上,然后进行布线。通过Proteus提供的自动布线功能,我可 以自动完成电路的布线,节省了大量的时间和精力。在完成布线后,我可以生 成PCB板的制造文件,然后将其发送给PCB制造厂家进行生产。通过这一实验,我对PCB设计和布局有了更深入的了解,并提高了我的设计能力。 结论: 通过使用Proteus进行实验,我深刻体会到了它在电子电路设计中的重要性和 价值。Proteus不仅提供了丰富的电子元件库和仿真功能,还支持模块化设计和PCB布局。通过Proteus,我能够更加高效地进行电路设计和验证,并且能够更好地理解电子电路的工作原理。在今后的学习和工作中,我将继续深入学习和 应用Proteus,不断提升自己的电子工程能力。

实验二 Proteus仿真软件的使用,流水灯程序,数码管操作

实验二 Proteus仿真软件的使用,流水灯程序,数码管操作实验二proteus仿真软件的使用,流水灯程序,数码管操作 实验二proteus仿真软件的使用 一、实验目的 掌握proteus仿真软件的使用方法和技巧。 二、实验仪器与设备 1.微机一台 2.proteus仿真软件 三、实验内容 1.用数码管设计一数码管表明电路。利用p1和p2口分别掌控两个共阳极数码管,可以循环表明0~99,每个数字表明时间自行设计。 2编写程序在其中一个数码管上画“8”字形,即按照a-f-g-c-d-e-g-b依次点亮各线段然后全部熄灭,重复以上操作。另外一个数码管做单管流水,即按a-f-g-c-d-e-g-b-a 依次单管循环点亮。 四、实验原理 led数码显示器内部有7个条形放光二极管和一个小圆点发光二极管组成,每个发光二极管称为一个字段,其控制原理和发光二极管的控制原理相同。 五、实验步骤 1.参考教材设计一双数码管控制显示电路,熟悉proteus的使用方法。 2.编程循环显示0~99. 3.编程在数码管画“8”。 六、实验报告 见附表 1、实验电路图 2、附:实验程序/***********************************实验二程序1编写:日期:功能:用12mhz晶振,p1口p2口分别显示数字的个位十位,数字每隔大约500ms加1一次,从0~99循环显示 ***********************************/#includecharcodetab[11]={0xc0,0xf9,0xa4,0x b0,0x99,0x92,0x82,0xf8,0x80,0x90,0xff};voiddelay_ms(int);voidmain(){intge=0,sh

实验五:Proteus电路仿真

实验五:Proteus电路仿真 实验学时:4 实验类型:设计 实验要求:必做 一、实验目的: 1、掌握proteus的频率特性分析方法; 2、掌握proteus的数字分析方法; 3、掌握模拟和数字电路设计的方法及步骤; 二、实验器材:安装Proteus软件的PC机 三、实验内容及步骤 (1)直流稳压电源 设计一个由集成稳压器件构成的220v转5v直流稳压电源。直流稳压电源的组成,来分步设计变压、整流、滤波和稳压几部分电路。 1. 变压电路 直流电源通常从市电取电,把220V、50Hz的单相交流电先降压,变成所需的交流电,然后再整流。变压电路的仿真图如图5-1所示。 图5-1 变压器电路原理图 从Proteus的元件库中取变压器“TRAN-2P2S”,在原边接交流电源“ALTERNATOR”,原副边分别接交流电压表,且变压器的原副边同时接地,并与后面直流部分电路共地,这一点很重要。打开交流电源的属性对话框,把频率改

为50Hz,把幅值改为310V(峰值)左右,运行仿真,观察原边交流电压表的读数,此时原边电压表的读数为220V(有效值)。 打于变压器属性对话框,按照本章前面介绍的变压器的变比与电压的关系,保持原边电感值为1H不变,修改原副边的电感值为0.001H左右,副边交流电压表的读数为22V左右。

2. 整流及滤波电路 整流采用常用的二极管桥式整流电路。在Proteus的元件库中寻找“BRIDGE”,取出此通用二极管整流桥,放置在电路中,注意接法。根据经验,一般滤波电路常用的滤波电容有2200μF。 另外,还要在滤波电容两端并联一电源指示电路,即一个电阻串联一个发光二极管。电路调试时,如果发光二极管亮,则说明滤波之前的电路无故障;否则可判断出前面电路有问题。如图5-2所示。 图5-2 整流滤波电路 3. 集成稳压电路 集成稳压电路的核心器件是LM317,在实际应用中要注意加装散热片。为了保护集成器件在接反的状态下不被烧毁,在输入、输出端之间以及输出与调节端之间分别接反向保护二极管。0.10416 1152 1032 关键是对输出端和调接端和地之间的两个外接电阻的计算。由于调接端的输出电流仅为100mA,可以忽略不计。即认为图4-45中的电阻R2和R3是串联关系。而LM317的输出端2和调节端1之间的输出电压已知为1.25V,电路输出电压为5V,所以R3的值可以算出。一般设R2为100~200Ω,典型值为120Ω。 R2 R3+R2=1.25V 5V (5-1) 由上面的公式算出R3值为360Ω。 另外,在图4-46中,电容C2和C3分别为去抖和滤波作用。C2并联在滑动

细粒度计算机组成原理Proteus仿真实验设计

细粒度计算机组成原理Proteus仿真实验设计 Proteus是一款流行的电子电路仿真软件,它可以帮助我们设计和验证电子电路的功能和性能。在计算机组成原理实验中,Proteus可用于验证各种细粒度的电路设计,包括处理器、存储器、输入输出设备等。 本文将设计一个基于Proteus的细粒度计算机组成原理实验,包括处理器设计、存储器设计和输入输出设备设计。 1. 处理器设计 处理器是计算机的核心,它执行指令并进行计算操作。在Proteus中,我们可以设计一个简单的8位处理器,包括指令寄存器、程序计数器、算术逻辑单元(ALU)、寄存器文件和控制单元等。 设计并连接指令寄存器、程序计数器和ALU。指令寄存器用于存储当前正在执行的指令,程序计数器用于存储下一条要执行的指令地址,ALU用于执行算术和逻辑操作。 然后,设计并连接寄存器文件。寄存器文件用于存储数据和地址,在Proteus中可以使用RAM组件实现。我们可以设计几个通用寄存器,如A、B、C等,用于存储数据和中间结果。 设计并连接控制单元。控制单元用于发送控制信号,控制其他部件的工作。在Proteus中,可以使用状态机或简单逻辑电路实现控制单元。 设计并连接主存。主存用于存储正在执行的程序和数据,可以使用RAM组件实现。根据系统的需求,选择适当的存储容量和数据宽度。 3. 输入输出设备设计 输入输出设备用于与计算机交互,包括键盘、显示器、磁盘等。在Proteus中,我们可以使用键盘模块和显示器模块实现输入输出设备。 设计并连接键盘模块。键盘模块用于接收用户输入的数据,可以使用Proteus提供的键盘模块实现。将键盘模块连接到处理器的输入端口。

proteus的的实验报告

proteus的的实验报告 Proteus的实验报告 引言: Proteus是一种功能强大的虚拟电路设计软件,广泛应用于电子工程师和学生的实验和学习中。本文将通过实验报告的形式,介绍Proteus的基本特点、使用 方法以及实验过程和结果,以期帮助读者更好地了解和掌握这一工具。 一、Proteus的基本特点 Proteus是一款集仿真、绘图和PCB设计于一体的软件,它的主要特点包括以 下几个方面: 1. 仿真功能:Proteus可以对电路进行仿真,模拟电路的工作原理和性能。通过仿真功能,用户可以在软件中快速验证设计的正确性,避免在实际制作电路前 出现问题。 2. 绘图功能:Proteus提供了丰富的元器件库和绘图工具,用户可以根据需要自由选择和布局元器件,绘制出符合要求的电路图。这对于学习电路原理和进行 电路设计非常有帮助。 3. PCB设计功能:Proteus还具备PCB设计的能力,用户可以通过软件将电路 图转化为实际的PCB布局,并进行相关的布线和优化。这对于电子工程师来说,是一个非常方便和高效的工具。 二、Proteus的使用方法 在使用Proteus之前,我们首先需要了解一些基本操作和流程,以便更好地使 用这个软件。以下是一般的使用方法: 1. 创建电路图:打开Proteus软件后,我们可以选择创建一个新的项目,并在

项目中创建电路图。在电路图中,我们可以选择所需的元器件,并进行布局和 连线。 2. 添加仿真器件:在电路图中,我们可以添加各种仿真器件,如电源、信号发 生器、示波器等。这些器件可以模拟实际电路中的各种信号和元件。 3. 进行仿真:在电路图中添加完所需的元器件后,我们可以进行仿真。通过设 置仿真参数和激励信号,可以模拟电路的工作情况,并观察各个元件之间的相 互作用。 4. 分析仿真结果:仿真完成后,我们可以分析仿真结果,观察电路的工作情况、电压和电流的波形等。通过对仿真结果的分析,我们可以判断电路的性能和问 题所在。 5. PCB设计:如果需要将电路图转化为实际的PCB布局,我们可以在Proteus 中进行相关的设计和布线。通过软件提供的工具,我们可以对PCB进行优化和 修改,以满足实际需求。 三、实验过程和结果 为了验证Proteus的功能和效果,我们进行了一个简单的实验:设计一个LED 闪烁电路。具体步骤如下: 1. 创建电路图:在Proteus中创建一个新的项目,并在项目中创建电路图。选 择LED和电阻等元器件,并进行布局和连线。 2. 添加仿真器件:为了模拟实际电路中的信号和电源,我们在电路图中添加了 电源和信号发生器等仿真器件。 3. 进行仿真:设置仿真参数和激励信号,运行仿真。观察LED是否能够正常闪烁。

Proteus仿真I2C存储器实验

2 Proteus 仿真I 2 C 存储器实验 、实验目的 1、了解I2C 总线的工作原理 2、掌握I2C 总线驱动程序的设计和调试方法 3、掌握I2C 总线存储器的读写方法 、实验说明 1、I2C 总线常识 I2C 总线上的每个器件均由一个存储于该器件中的唯一地址来识别,并可被用作一个发送器或接收器(视其功能而定)。 AT24C02 是一个2K 位串行EEPROM ,内部含有256 个8 位字节,AT24C02 有一个8 字节页写缓冲器,该器件通过I2C 总线接口进行操作。 引脚名称和功能如图1 所示 图1 24 系例I2C 存储器引脚说明 通过器件地址输入端A0 、A1 和A2 可以实现将最多8 个24C02 器件连接到总线上。 2、I2C 总线协议 (1)只有在总线空闲时才允许启动数据传送。 (2)在数据传送过程中,当时钟线为高电平时,数据线必须保持稳定状态,不允许有跳变。 时钟线为高电平时,数据线的任何电平变化将被看作总线的起始或停止 -可编辑修改- 信号。

(3)起始信号,时钟线保持高电平期间,数据线电平从高到低的跳变作为I2 C 总线的起始信号。 2 (4)停止信号,时钟线保持高电平期间,数据线电平从低到高的跳变作为I 2 C 总线的停止信号。 2 I2 C 总线时序: 3、页写操作的数据帧结构根据页缓冲区的大小,页写的字节数不能超过缓冲区大小。如果在发送停止信号之前主器件发送超过缓冲区大小,地址计数器将自动翻转,先前写入的数据被覆盖。如图2所示。 图2 页写操作数据帧结构 三、实验内容 2 先搭建一个“I 2 C 存储器实验”的仿真电路,该单片机系统功能是采用页写的方式,把器件地址为A0H 的24C02 器件的片内50H 地址开始的8 个存储单元分别写入数据“00 、11 、 22 、、、、、、77 ”。 四、.参考硬件电路 -可编辑修改-

PROTEUS教学实验系统(单片机E型)三合一综合实验指导书2022

PROTEUS教学实验系统(单片机E型)三合一综合实验 指导书2022 PORTEUS教学实验系统(单片机E型)实验指导书 目录 (版本2022.4) 第1章 实验一实验二实验三实验四实验五实验六 第2章 硬件实验目录 (27) I/O口输出实验—LED流水灯实验..........................................27独立式键盘实验. (30) 计数器实验............................................................. .32定时器实验............................................................. .34外部中断实验 (3) 6I/O口输入/输出实验—模拟开关灯 (38) I PORTEUS教学实验系统(单片机E型)实验指导书 第1章PROTEUS教学实验系统(单片机E型)

简介及使用说明 1.1系统简介 【硬件特点】 1.连接线采用灯笼头,插拔容易,电气接触性能优秀,导线粗,使 得接线容易而且稳定。2.外设控制资源更加丰富,可在本实验箱上练习 超过30个实验项目。3.整个电路的布局优化后,使电路设计更加合理。 5.真正做到了兼容多种单片机,包括MCS8051,AVR,PIC系列单片机,而扩展模块更是增加了整个系统的灵活性和实用性。 【硬件配置】 PB-EDU-000:可任意选配MCS-51单片机(AT89S52)/AVR单片机(ATMEGA16L)/PIC 单片机(PIC16F877A)3种处理器模块 PB-EDU-001:16某16LED点阵屏模块,PS/2接口,USB接口,蜂鸣 器PB-EDU-002:8位共阳数码管,8位拨码开关,BCD译码器PB-EDU-003:LCD1602显示模块 PB-EDU-004:可调方波,单脉冲发生器,+5V,+12V,-5V三路电源 PB-EDU-005:232串口,485通讯接口,CAN总线收发器,继电器,EEPROM芯片(24C02), 时钟芯片(DS1302),温度传感器(DS18B20)

(2021年整理)计算机组成原理实验proteus的应用

计算机组成原理实验proteus的应用(推荐完整) 编辑整理: 尊敬的读者朋友们: 这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望(计算机组成原理实验proteus 的应用(推荐完整))的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。 本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为计算机组成原理实验proteus的应用(推荐完整)的全部内容。

计算机组成原理实验proteus的应用(推荐完整) 编辑整理:张嬗雒老师 尊敬的读者朋友们: 这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布到文库,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是我们任然希望计算机组成原理实验proteus的应用(推荐完整) 这篇文档能够给您的工作和学习带来便利。同时我们也真诚的希望收到您的建议和反馈到下面的留言区,这将是我们进步的源泉,前进的动力. 本文可编辑可修改,如果觉得对您有帮助请下载收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为 <计算机组成原理实验proteus的应用(推荐完整)〉这篇文档的全部内容。

南京理工大学紫金学院 计算机组成原理 实验报告

实验报告一:加法器实验操作 一、实验目的: 1.掌握proteus软件常用命令的使用方法 2.掌握加法器的基本使用 二、实验内容: 1.proteus软件命令使用 2.串联加法器的连接 3.并联加法器的连接 三、实验步骤: (一) proteus软件界面的基本使用 ①通过File界面创建新文件或打开已有文件 ②所用的原件模型必须在蓝方框内放置

基于Proteus仿真实现秒表电路仿真实验

基于Proteus仿真实现秒表电路仿真实验 一、实验目的 99秒表设计一个00~~99的2位秒表,用单片机控制2个LED静态显示。 二、实验要求 1、绘图必须规范、严谨,可以不拘一格,但要求仿真成功。 2、不得相互拷贝和抄袭,每个仿真电路图下面写上电路名称及自己的班级、学号姓名。 3、Proteus仿真图、相应的源程序(用到单片机的项目)、Word文档实训报告均以电子版形式上交。 三、仿真电路 分析单片机有4个并行I/O口 P0-P3,每个I/O口包括8条I/O口线。采用P0口、P1口来控制2个共阳极LED的段码,我们用的是共阴数码管,所以公共端接地,P0口控制的LED显示十位数,P1口控制的LED显示个位数,从0开始显示。显示到99后,从新从0开始显示。 四、实训结果

五、实训心得 99秒表调用了单片机中,自带的(定时器/计时器)系统,是学习单片机所必须了解的一个模块,这是一次基本的应用,也比较简单,但是在接下来的学习中还要针对系的学习,(定时器/计时器)应用的还是比较的广泛。还要好好学习。 六、附页 Keil程序 #include void time59ms(unsigned char i) { unsigned char k; for(k=0;k

《单片机原理及应用》软件开发工具Keil与虚拟仿真平台Proteus的使用实验一

《单片机原理及应用》软件开发工具Keil与虚拟仿真平台Proteus的使用实验 实验目的 (1)了解Keil和Proteus软件的基本特点和功能。 (2)学会使用Keil软件进行单片机中断应用和定时器/计数器应用的编程。 (3)学会使用Proteus软件进行单片机中断应用和定时器/计数器应用的原理图的绘制 和程序实现。 (4)学会使用Keil和Proteus两种软件的联调。 实验指导 一、Keil C51的使用 1.创建项目 编写一个新的应用程序前,首先要建立项目(Project)。 (1)在编辑界面下,单击菜单栏中的[Project],出现下拉菜单,再点击选择中 的“New Project”。 (2)单击“New Project…”选项后,就会弹出“Create New Project”窗口。 在“文件名(N)”中输入一个项目的名称,保存后的文件扩展名为“.uvx”,即项 目文件的扩展名,以后可直接单击此文件就可打开先前建立的项目。在“文件名(N)”窗口中输入新建项目文件的名字后,在“保存在(I)”下拉框中选择项目的 保存目录,单击“保存(S)”即可。 (3)选择单片机,单击“保存(S)”后,会弹出“Select Device for Target”(选择单片机)窗口,按照提示选择相应的单片机。搜索“AT89C52”并选择。 (4)单击“确定”按钮后,会出现对话框。如果需要复制启动代码到新建的项目,选择单击“是”。如选择单击“否”,启动代码项“STARTUP. A51”不会出现, 这时新的项目已经创建完毕。 2.新建文件 新的项目文件创建完成后,就需要将用户源程序文件添加到这个项目中,添加用

24进制计数器proteus实验报告

24进制计数器proteus实验报告24进制计数器是在电子系统的设计中经常使用的计数器,具有高速、稳定、精度高等特点,因此在各种计数相关的操作和应用中被广泛使用。本次实验的目的是在Proteus软件中实现24进制计数器,并验证其计数功能和参数的准确性。 实验器材: - Proteus软件 -一块PIC16F877A单片机 -一个24进制数码管 实验原理: 24进制计数器是指计数器的基数为24,即每一次计数器加1所表示的是24进制数中的1。在本次实验中,我们将采用PIC16F877A单片机来实现24进制计数器,通过单片机来对计数器的计数值进行控制和显示。具体实现需要根据PIC16F877A的编程和控制的特点,针对24进制计数器设计适当的算法与操作。

实验过程: 1.根据24进制计数器的原理,确定计数器所采用的基数为24,编写程序,对PIC16F877A进行初始化和IO口配置。 2.在Proteus软件中,添加PIC16F877A单片机和24进制数码管,并连接需要的电路。 3.编写程序,设置计数范围,并实现对计数值的加1和显示。 4.进行仿真测试,查看计数器的正确性和稳定性。 5.通过调整程序和电路参数,优化计数器的性能和准确性。 实验结果: 经过一系列的设计和测试,实验结果表明,本次24进制计数器的 实验操作成功,可以实现稳定的计数功能。在计数器运行的过程中, 可以正确显示当前的计数值,并能够正常进行加1操作。同时,在根 据实际需要调整计数范围和显示参数的过程中,可以使用该计数器进 行更加精确和高效率的计数操作。 实验结论:

通过本次实验的操作和测试,可以有效地理解和应用24进制计数 器的原理和实现方法,掌握PIC16F877A单片机作为控制器的实现技术。该计数器具有高速、稳定和精度高等特点,在各种计数相关的操作和 应用中具有广泛的实用价值。

相关主题