搜档网
当前位置:搜档网 › 数字电路实验二数据选择器及其应用

数字电路实验二数据选择器及其应用

数字电路实验二数据选择器及其应用
数字电路实验二数据选择器及其应用

数字电路实验二:数据选择器及其应用

实验目的

1?学习数据选择器的电路结构和特点。

2?掌握数据选择器的逻辑功能及其基本应用

实验原理

1.本实验采用四输入双与非

门74LS00,即在一块集成块内含

有两个互相独立的与非门,每个

与非门有四个输入端。其逻辑框

图、符号及引脚排列如右图:

Ri Fi n Finn Fi m

u u i±i u UJ □

GND

函数信号发生器、数字双踪示波器、数字电路实验箱、TTL集成

电路

三.实验内容

1?用与非门实现二选一数据选择

器并测试。建立如图所示的实验电

2. 74LS153勺引脚排列如下图:

实验设备

示波赛

路。调动逻辑电平开关,使选择器地址A为某一定值。V为脉冲信号源

(5V,1KHz方波偏移)。将V 分别连接到数据输入端,用示波器观察选择器的输出Q。

2.用一块74SL153及门电路设计实现一位全加器。输入用3个逻辑开关分别代表A、B、CI,输出用2个指示灯分别代表CO SO。

.实验结果

1.与非门实现二选一数据选择器

设计思路如下:

(1)列真值表:

C B A F

0000

0010

0101

\ 0

111 1000

1011

1100

1\ 1

11

(C为控制开关,A为函数信号发生器输出信号,B为开关作为另一输入信号) 与非门构成的逻辑图如下:

(2) Multisim软件仿真电路图如下:

开关分别打到不同处时示波器波形如图所示:

百度文库

-让每个人平等地提升自我

f

Use Idicsp-e

爭吧:

Bignfll?

G^ciloscoicc XSC1

XSC1 Os< Ilcscdpe-X?L1

百度文库-让每个人平等地提升自我

2. 一块74SL153及门电路设计实现一位全加器

.vce …

w

01 ?

1J1 ?

Key - 'Space

0賓>11 UM OTC-K

5C1

<

■Multisim软件仿真电路图如下:

开关打到各处时LED发光情况如下图所示:

VCC

:K的=3用储:

k ■*

LED1

74LS153D --

vec -

5V

H -

:£1

-4

74I S151R

*

w…

55B…

m 4 k

-*

4錨? !

Q --

ic& --

K1 1 iCE

--

ICt…

LZE- - ?

1C1 ” ■

KS -

「-

-?VTP

LT

?■- J t - ■ a: - Jt-

▼^LED2 -

-■ ▼^LED2 [辛

{LED1

?‘???

KS----

.- Sp^ce .

L

L

? \

VCC

LED1

? ? *

■> " t

-- -_^::'

-- ,[i^\ ...

? --------------------- O - - ■ ...........................................

■-

I < I Iph I , J . A ■■ a I ?

阳亍SppGW ...... 了览別柏

71

—:? ic.1 -?

1CS - ■ IC2 -

■ 74LS153G

数字电路及其应用(一)

数字电路及其应用(一) 编者的话当今时代,数字电路已广泛地应用于各个领域。本报将 在“电路与制作”栏里,刊登系列文章介绍数字电路的基本知识和应用实例。 在介绍基本知识时,我们将以集成数字电路为主,该电路又分TTL和CMOS 两种类型,这里又以CMOS集成数字电路为主,因它功耗低、工作电压范围宽、扇出能力强和售价低等,很适合电子爱好者选用。介绍应用时,以实 用为主,特别介绍一些家电产品和娱乐产品中的数字电路。这样可使刚入门的 电子爱好者尽快学会和使用数字电路。一、基本逻辑电路 1.数字电路 的特点 在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模 拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者 涉及数字信号,即断续变化的物理量,如图1所示。当把图1的开关K快速通、断时,在电阻R上就产生一连串的脉冲(电压),这就是数字信号。人们把用来 传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作 时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电 位用代码“1”表示,称为逻辑“1”;低电位用代码“0”表示,称为逻辑“0”(按正逻 辑定义的)。注意:有关产品手册中常用“H”代表“1”、“L”代表“0”。实际的数字 电路中,到底要求多高或多低的电位才能表示“1”或“0”,这要由具体的数字电 路来定。例如一些TTL数字电路的输出电压等于或小于0.2V,均可认为是逻 辑“0”,等于或者大于3V,均可认为是逻辑“1”(即电路技术指标)。CMOS数字 电路的逻辑“0”或“1”的电位值是与工作电压有关的。讨论数字电路问 题时,也常用代码“0”和“1”表示某些器件工作时的两种状态,例如开关断开代 表“0”状态、接通代表“1”状态。 2.三种基本逻辑电路

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

实验三 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1.掌握数据选择器的逻辑功能和使用方法。 2.学习用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图9-1 4选1数据选择器示意图 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1.八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择I0~I78个数据源,具有两个互补输入端,同相输出端Z和反相输出端Z。其引脚图和功能表分别如下: 2.双四选一数据选择器74LS153

所谓双四选一数据选择器就是在一块集成芯片上有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一 个输出端Z,它们的功能表如表9-2,引脚逻辑图如图9-3所示。 图9-3 74LS153引脚逻辑图表9-2 74LS153的真值表 其中,EA、EB(1、15脚)分别为A路和B路的选通信号,I0、I1、I2、I3为四个 数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0(14脚)、S1(2脚)为地址信号,8脚为GND,16脚为VCC。 3.用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。 图9-4用74LS151组成16选1数据选择器

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验二

实验2 数据选择器功能测试及设计应用 王玉通信工程 2012117266 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.掌握数据选择器的工作原理及使用方法。 二、实验仪器设备与主要器件 试验箱一个;双踪示波器一台;稳压电源一台。 双4选1数据选择器74LS153;8选1数据选择器74LS151和75LS251. 三、实验原理 能够实现从多路数据中选择一路进行传输的电路叫做数据选择器。数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它是一种与分配器过程相反的器件。它有若干个数据输入端,D0,D1,D2,……,若干个控制输入端A0,A1……和一个或两个输出端Q(或Q非)。当控制输入码A0,A1……具有不同数据组合时,将选择组合码所对应的二进制数Dx输出。由于控制输入端的作用是选择数据输入端的地址,故又称为地址码输入端。 目前常用的数据选择器有2选1、4选1、8选1等多种类型。本实验主要熟悉4选1和8选1数据选择器。 四、实验内容与结果 1.测试74LS153的逻辑功能。 电路如下图: 测试结果为: A0 A1 s1s2Q1 Q2 * * 1 1 0 0 0 0 0 0 1D0 2D0 0 1 0 0 1D1 2D1 1 0 0 0 1D 2 2D2 1 1 0 0 1D3 2D3 2.用多路选择器设计实现一个8421-CD非法码检测电路。使得当输入端为非法码组合时输出1,否则为0.二进制数与BCD码的对应关系如下。写出函数Y的表达式,并进行化简,然后画出电路图,接线调试电路,用发光二极管显示输出结果,观察是否与表2-2-5相符。设

数据选择器及其应用

数据选择器及其应用

物联网工程 郭港国 26 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1

图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-2 表4-3

数字逻辑与数字系统应用案例、实例

数字逻辑与数字系统 (1)多路彩灯控制器的设计 一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二、任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好;

3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三、设计方案 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。这样设计,其优点在于:设计思想比较简单。元件种类使用少,且

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

实验二 数据选择器及其应用

实验二数据选择器及其应用 一、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-1 4选1数据选择器示意图图4-2 74LS151引脚排列 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

二、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、学习用数据选择器构成组合逻辑电路的方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、74LS151(或CC4512) 74LS153(或CC4539) 四、实验内容 1、测试数据选择器74LS151的逻辑功能。 接图4-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。 图4-7 74LS151逻辑功能测试

2、测试74LS153的逻辑功能。 测试方法及步骤同上,记录之。 逻辑功能见下表: 3、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 有三个人进行表决,当其中任意两个人赞同时,输出为真,否则输出为假。真值表如下:

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电子技术基础实验-8选1数据选择器74LS151

8选1数据选择器74LS151 简介 74LS151是一种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。 74LS151引脚图 选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。 (2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。 如:CBA=000,则选择D0数据到输出端,即Y=D0。 如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。

74LS151功能表 数据选择器的应用 数据选择器除实现有选择的传送数据外,还有其他用途,下面介绍几种典型应用。 (1)逻辑函数产生器 从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输入数据D0~D7的与或函数。 式中mi是C、B、A构成的最小项。显然。当Di=1时,其对应的最小项mi在与或表达式中出现,当Di=0时,对应的最小项就不出现。利用这一点,不难实现组合逻辑函数。 已知逻辑函数,利用数据选择器构成函数产生器的过程是,将函数变换成最小项表达式,根据最小项表达式确定各数据输入端的二元常量。将数据选择器的地址信号C、 B、A作为函数的输入变量,数据输入D0~D7,作为控制信号,控制各最小项在输出 逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为一个3变量的函数产生器。

确定版的50个典型经典应用电路实例分析

电路1简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648,利用其压控特性在输出3脚产生频 值,测量精度极高。 率信号,可间接测量待测电感L X BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X 时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L 值。 X 电路谐振频率:f0=1/2π所以L X=1/4π2f02C LxC 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表振荡频率(MHz)98766253433834

电子线路基础数字电路实验4 数据选择器

实验四数据选择器 一、实验目的 1. 熟悉中规模集成数据选择器的逻辑功能及测试方法。 2. 学习用集成数据选择器进行逻辑设计。 二、实验原理 数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成较复杂的逻辑功能。它有若干个数据输入端D0、D1、…,若干个控制输入端A0、A1、…和一个输出端Y0。在控制输入端加上适当的信号,即可从多个输入数据源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序的逻辑部件。 中规模集成芯片74LS153为双四选一数据选择器,引脚排列如图7—1所示,其中D0,D1,D2,D3为四个数据输入端,Y为输出端,A1,A2为控制输入端(或称地址端)同时控制两个四选一数据选择器的工作,G为工作状态选择端(或称使能端)。74LS153的逻辑功能如表7—1所示,当1 =G G时电路不工作,此 1= 2 ) ( 时无论A1、A0处于什么状态,输出Y总为零,即禁止所有数据输出,当( =G G时,电路正常工作,被选择的数据送到输出端,如A1A0=01,则选1= ) 2 中数据D1输出。 图7—1 图7—2 表7—1

当G =0时,74LS153的逻辑表达式为 中规模集成芯片74LS151为八选一数据选择器,引脚排列如图7—2所示。其中D 0—D 7为数据输入端,)(Y Y 为输出端,A 2、A 1、A 0为地址端,74LS151的逻辑功能如表7—2所示。逻辑表达式为 数据选择器是一种通用性很强的中规模集成电路,除了能传递数据外,还可用它设计成数码比较器,变并行码为串行及组成函数发生器。本实验内容为用数据选择器设计函数发生器。 用数据选择器可以产生任意组合的逻辑函数,因而用数据选择器构成函数发生器方法简便,线路简单。对于任何给定的三输入变量逻辑函数均可用四选一数据选择器来实现,同时对于四输入变量逻辑函数可以用八选一数据选择器来实现。应当指出,数据选择器实现逻辑函数时,要求逻辑函数式变换成最小项表达式,因此,对函数化简是没有意义的。 表7—2 例:用八选一数据选择器实现逻辑函数 CA BC AB F +== D A A D A A D A A D A A Y 3 1 2 1 1 1 1 +++= D A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y 7 2 6 1 2 5 1 2 4 1 2 3 1 2 2 1 2 1 1 2 1 2 +++ ++++=

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数据选择器及其应用解读

实验五数据选择器及其应用 [实验目的] 1、掌握中规模集成数据选择器的逻辑功能及使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 [实验原理] 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-5-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 图4-5-1 4选1数据选择器示意图图4-5-2 74LS151引脚排列 表4-5-1 1、8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-5-2,功能如表4-5-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择1个需要的数据送到输出端Q,S为使能端,低电平有效。 (1)使能端S——=1时,不论A2~A0状态如何,均无输出(Q=0,Q——=1),多路开关被禁止。 (2)使能端S——=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中

某一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q=0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q=D 1,其余类推。 2、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。74LS153的引脚排列如图4-5-3,功能如表4-5-2。 表4-5-2 图4-5-3 74LS153引脚功能 1S —— 、2S —— 为两个独立的使能端,A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3 分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 (1)当使能端1S —— (2S —— )=1时,多路开关被禁止,无输出,Q=0. (2)当使能端1S —— (2S —— )=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00,则选择D 0数据到输出端,即Q=D 0。 A 1A 0=01,则选择D 1数据到输出端,即Q=D 1,其余类推。 数据选择器的用途很多,例如多通道传输、数码比较、并行码变串行码以及实现逻辑函数等。 3、数据选择器的应用-实现逻辑函数 例1:用8选1数据选择器74LS151实现函数F=AB — +A — B (1)列出函数F 的功能表如表4-5-4所示。 (2)将A 、B 加到地址端A 1、A 0,而A 2接地,由表4-5-3可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数 F=AB — +A — B 接线图如图4-5-4所示。 表4-5-3 图4-5-4 8选1数据选择器实现F=AB — +A — B 的接线图 显然,当函数输入变量数小于数据选择器的地址端(A)时,应将不用的地址端及不用的数据输入端(D)都接地。 例2:用双4选1数据选择器74LS153实现函数F= A — BC + AB — C +ABC — +ABC 函数F 的功能如表4-5-4所示。

实验二 数据选择器

实验二数据选择器 院系:信息科学与技术学院 专业:电子信息工程 姓名:刘晓旭 学号:2011117147

一.实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.学习数据选择器的使用方法。 二.实验仪器及器材 稳压电源,数字多用表,四选一数据选择器74LS153,八选一数据选择器74LS151。 三 .实验原理 数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它有若干个数据输入端D0 ,D1......,若干个控制输入端A0 ,A1 ......和一个两个输出Q,Q’。当A0,A1......数据不同时,将选择与其相应的输入控制端D X输出,由于控制输入端的作用是选择数据输入端的地址,故又称为地址输入端。 四.实验内容 1.利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和功能表一致。 实验目的:利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和功能表一致。 实验器材:直流电压源,开关,74LS153,电灯,逻辑控制开关 实验内容:测试74LS153的逻辑功能 74LS153为两个四选一数据选择器,S1’,S2’是每一个选择器的选通输入端,低电平有效。 A0,A1为公共的控制输入信号。1D0,1D1....1D3,2D0,2D1...2D3分别是每一选择器的数据输入端。 电路如图1 图1

74LS153的逻辑功能表2.1 注:测试过程中1G,2G 始终接地。当A,B 为00.01,10,11不同情况时,分别对应于1C 0, 2C 0; 1C 1,, 2C 1; 1C 2, 2C 2; 1C 3, 2C 3;的开关接上高电平,灯泡会发光,从真值表所列的功能来看,74LS153符合其逻辑功能。 2.设计一位二进制数A 和B 的比较器。 实验目的:用74LS153设计出一位二进制数A 和B 的比较器。 实验器材:74LS153,单刀双掷开关,直流电源,灯泡。 实验内容:当接至高电平时代表1,接至低电平时代表0; A>B 时,x1亮;AB I ,则地址码为 01,根据电路图看出Y 1Y 2=10;若A I

实验二数据选择器

实验二数据选择器功能测试及设计应用 实验目的 1、掌握中规模集成数据选择器的逻辑功能及测试方法。 2、掌握数据选择器的使用方法。 一、实验仪器及器材 稳压电源、实验箱一个、双四选数据选择器74LS153、八选一数据选择器74LS151。 二、实验原理 1、4选1数据选择器 中规模集成电路74LS153为双四选数据选择器,其逻辑符号如图2-2-1所示,其中,1S、S分别为两个数据选择器的选通输入端,低电平有效。A0,、A1为公告控制输入端地址端,2 1D0、1D1、1D2、1D3与2D0、2D1、2D2、2D3分别为两个数据选择器的数据输入端,其功能表如表2-2-1所示。 有功能表得逻辑表达式: () =+++ Q A A D A A D A A D A A D S 110101011101210131 () =+++ 210201021102210232 Q A A D A A D A A D A A D S 2、8选1数据选择器74LS151 74LS151是常用的8选1数据选择器,用于各种数字电路和单片机系统的显示电路中。其功能如表2-2-2所示。 表2-2-2 74LS151的功能表

其中,S 为数据选择器的选通端,低电平有效。A0、A1、A2为地址码,D0~D7为数据输入端。 3、数据选择器的应用 (1)多路信号共用一个通道(总线)传输。 (2)变并行码为串行码。 (3)转换4位二进制码为补码。 (4)组成数码比较电路。 (5)实现逻辑函数。 三、实验内容 1,利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和逻辑功能表一致。 1、根据题目可画出电路图为:

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y