搜档网
当前位置:搜档网 › 三相无刷直流电机控制系统设计_图文(精)

三相无刷直流电机控制系统设计_图文(精)

三相无刷直流电机控制系统设计_图文(精)
三相无刷直流电机控制系统设计_图文(精)

广东工业大学

硕士学位论文

三相无刷直流电机控制系统设计姓名:孙心华

申请学位级别:硕士专业:电力电子与电力传动指导教师:童怀

20080501

摘要

摘要

三楣无刷直流电机是近年来迅速发展起来的一种新型电机,它剃用电子挨耀代替机械换相,既具有直流电机的调速性能,又具有交流电机结构简单、运行可靠、维护方便等优点,并且体积小、效率高,在许多领域已得到了广泛的运用。本文首先介绍了三相无捌直流电机在国内外的发展及其控制系统的研究现状,详细论述了三相永磁无刷直流电机的构成、运行原理、特性分析和其转子位置信号的检测方法;然后设计了控制系统的硬件电路及相应软件,最后对设计的控制系统进行调试并分析了影响系统可靠性的睽素及给毒了相应解决的方案。根据控制系统的设计参数、成本及灵活性等各方面的要求,本控制系统设计了以Atmega8L单片机及ECN30206集成驱动器为核心的硬件平台。Atmega8L 单片枫对蠢ECN30206构成豹功率驱动电路进行转速PID闭环控制、并定时采集电流信号对电流进行过流保护及采用Max7219串行显示转速、电流、相关故障信息, 通过光电隔离对永磁无刷直流电机诸如转向等控制及接收外部信息,通过RS-485总线接蹬与外部其它系统交换信怠、对各种信息进行分析处理、协调各部分的工作。

在软件方面编制了基于硬件平台的程序,协调硬件工作。本控制系统软件由一个前意念系统努加两个中断服务子程序,前看台主程序豳对系统初始化模块、转子转速计算及转速PID闭环控制组成,初始化模块主要对Atmega8L单片机三个定时器T0、T1、T2,ADC转换器,通用串行口UART,输入输出I/O口的初始化, 系统初始

化之后再对无刷直流电机转子转速进行计算,计算结果再与设定值进行比较,将比较差值送PID控制器控制PWM的占空比来控制专用驱动控制器 ECN30206的VSP 引脚电压输入,从而控制转速,达到闭环控制的目的,中断程序主要惩来睾行中断接收上位枫发来的无刷直流电机转向及转速设定僮、定时中断检测电流及显示转速值及相关故障。

本文所设计的无刷直流控制系统实现了电机的转向、转速闭环PID控制、各种参数及故障的显示。本控制系统保护功能较完善,硬件结构简单,成本较低, 主控制部分、驱动部分及显示部分用户可以任意选择使用。

广东工业人学工学硕上学位论文

关键词:三相无刷直流电机; Atmega8L单片机; ECN30206集成驱动器;PID闭环控制

Abstract

Ab stract

Three phase brushless DC motor(BLDCMwith permanent excitation,whose electrical commutator iS used to instead of mechanical,has not only the same good characteristics of speed control as traditional DC motor,but also the good characteristics of AC Motor such as structure simple,operation reliable, maintenance friendly.Brushless DC motor has set wide application due to its high power density,ease to control,high efficiency over wide speed range.

The paper firstly introduced the study status of permanent magnet brushless DC motor in home and abroad,and then discussed its structure,operation principles, characteristics as well as its rotor position signal detection methods in detail;secondly, designed

the control system’S hardware and software accordingly;越last debugged the control system,analyzed the factors affecting the reliability of the system and gave the corresponding solutions.

According to the requirements of control system such as design parameters,cost and flexibility,this paper designed Atmega8L SCM and ECN30206integrated driver as the core hardware

platform.Atmega8L SCM posed rotation speed PID closed—loop control on the system and regularly collecting current signal for the current over—current protection;used the Max7219serial display the current,related to fault

information;controlled Permanent magnet brushless DC motor’S rotate direction and received external information,through the isolation of the photoelectric;exchanged information,analyzed and processed the various information,coordinated various parts working through the RS一485bus interface with other outside systems.

This paper compiled a program based on the hardware platform to coordination with the hardware.The software of the control system is comprised by one fore.and—aft platform main program and two interrupt service subprogram.The fore.and-a爨platform main program is made up by the system initialization module, the rotor speed computing and PID speed closed—loop control component.The system

广东工业人学工学硕士学位论文

initialization module mainly initializes the three timers,A/D converter,serial UART, I/O pins.After system initialization,the main program computes the BLDC’S rotor speed and compared to the set speed value and then it send the error to the PID contr01. The PID controller will change the voltage of the ECN30206integrated driver’S VSP pins according to the duty cycle of

PWM.In this way the rotor speed was controlled accord with the user’s setting value.One of the interrupt subprogram mainly used to receive the control order from the external system,which composed of rotor direction, rotor speed value.The other is mainly used to detect the current and display the speed and related failures regularly.

In this paper,the brushless DC motor control system achieved the rotor direction, speed closed—loop PID control,and various parameters defect display.The control system has a perfect protection,simple hardware structure,lower cost.The main control section,driver section and display section can choose arbitrary by the user. Keywords:Three phase BLDC motor; Atmega8L micro-C; ECN30206integrated driver;PID closed-loop control

广东工业人学工学硕L学位论文

独创性声明

秉承学校严谨的学风与优良的科学道德,本人声明所呈交的论文是我个人在导师的指导下进行的研究工作及取得的研究成果。尽我所知,除了文中特别加以标注和致谢的地方外,论文中不包含其他人已经发表或撰写过的研究成果,不包含本人或其他用途使用过的成果。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明确的说明,并表示了谢意。

本学位论文成果是本人在广东工业大学读书期间在导师的指导下取得的,论文成果归广东工业大学所有。

申请学位论文与资料若有不实之处,本人承担一切相关责任,特此声明。

l l

指导教师签字:翟.牝

论文作者签字铜、∥青

纱砖年歹月矽日

第一章绪论

1.1课题依据及意义

第1章绪论

无刷直流电机是近几年来小电机行业发展最快的品种之一,随着视听产品小、轻、薄化和家电产品的静音节能化以及豪华型轿车需求量增多,无刷直流电动机需要量迅速增加;无刷直流电机用电子换向替代了电刷和换向器,具有高可靠、高效率、寿命长、调速方便、低噪音等优点。国内近年来在无刷直流电机的设计及控制方面有很多的研究,但与国外成熟的产品相比还有很多地方值得提高, 并且很多无刷直流电机生产商都没有给出具体的控制方案,因此在无刷直流电机控制方面的研究是非常有必要的。

由于无刷直流电机具有体积小、重量轻、效率高、调速性能好、转动惯量小、没有励磁损耗等问题,因此在各个领域具有广泛的应用前景。一方面,无刷直流电机与其他异步电机相比具有明显的优势如反馈装置更简单、功率密度更高、输出转矩更大、并且电机和逆变器各自的潜力得到充分的发挥,因此无刷直流电机的应用和研究得到了前所未有的重视。据资料统计统计表明无刷直流电机的使用每年以较高比例增长。另一方面无刷直流电机与有刷直流电机相比有更多的优点如电机本体结构简单、运行时无火花、电磁干扰小,无噪声等,因此具备广泛应用前景。n 3

目前在家用电器方面,大多使用异步电机,但是随着人们生活水平的提高对各种家电的质量、性能提出了更高的要求;随着能源的日益匮乏特别是燃油方面, 对高效节能也有更高的要求,国家十一五计划也明确提出过限制耗能产业发展, 因此采用无刷直流电机来代替性能差、效率低的异步电动机成为大势所趋。现在在高档电扇中已经采用了无刷直流电机,在新一代的空调、洗衣机、电冰箱、吸尘器等家电中已逐渐用无刷直流电机取代了异步电动机,因此研究无刷直流电机及其控制意义重大。

广东工业人学工学硕上学位论文

1.2无刷直流电机的发展

电机作为主要的能量转换装置,已被广泛应用于家用电器、信息处理设备、汽车工业、机器人等各个领域。直流电机具有优秀的线性机械特性、宽的调速范围、大的启动转矩、简单的控制电路等优点被广泛应用于于各种驱动装置和伺服系统中,但是直流电机是依靠换向器和机械电刷进行换向,由于换向器和电刷的接触,使直流电机结构复杂、可靠性差、变化的接触电、产生电火花、电磁干扰, 噪声等一系列问题、影响了直流电机的性能。因此从上个世纪以来人们就开始研究一种不用机械电刷和换向器的直流电机。1955年,美国D.Harrison等人首次成功的实现了用晶体管换向线路代替有刷直流电机机械电刷,这标志着现代无刷直流电机的诞生。…心1

二十世纪六十看年代以来,由于高性能新型永磁材料、大功率开关器件、模拟和数字专用集成电路、微处理技术、现代控制理论的发展,“无刷直流电机’’的概念已由最初的具有电子换向的直流电动机发展到泛指一切具有“有刷直流电机” 外部特性的电子换向电机。无刷直流电动机真正进入实用阶段是从1978年开始, 当时前德国某公司在汉诺威贸易博览会上,正式推出一款经典无刷直流电动机及其驱动器。80年代,国际上开展了深入的研究,先后研制成方波无刷直流电机和正弦波无刷直流电动机,在10多年的时间里,无刷直流电动机在国际上已得到较为充分的发展,在一些较为发达的国家里,无刷直流电动机将在未来几年内成为主导电动机,并逐步取代其他类型的电动机。现在许多高档精密型产品都用无刷直流电机,日本的不少公司已将无刷直流电机应用到数码照相机、微型收录机、摄影机、打印机、存储驱动器、手机以及汽车空调、洗衣机、吸尘器、电动车、心脏泵等领域。【2】

1.3无刷直流电机控制系统研究现状

现阶段国内外无刷直流电机控制的研究主要包括转子位置检测及功率开关管的状态切换、速度调节、转矩脉动的抑制、无刷直流电机的起动。“儿钉¨¨"m力一、转子位置检测及开关状态切换

2

第一章绪论

无刷直流电机的运行是通过逆变器功率器件随转子的不同位置相应地改变其不同的开关管组合状态来实现的,因此准确检测转子的位置并根据转子位置准时切换功率器件的开关组合状态是控制无刷直流电机正常运行的关键。

1、用位置传感器检测转子位置及开关状态切换

利用传感器得到的不同位置信号经过门电路、模拟开关或专用芯片就可以得到不同的开关逻辑信号,实现开关状态的自动切换,随着微处理器的应用,也可以通过软件来进行切换,无刷电机常用的位置传感器有磁电感应式、磁敏式和光电式。磁电式位置传感器既笨重又复杂,在方波电机中早已被淘汰。磁敏式霍尔位置传感器由于体积小,简单可靠的特点而被广泛应用。光电式如光电码盘因高精度的特点而广泛应用于伺服系统中。但位置传感器的使用增加了电机的体积, 且需要多根信号线,这给无刷直流电机的微型化带来了困难,也增加了电机制造的工艺要求和成本。

2、无位置传感器检测转子位置及开关状态切换

为了省去位置传感器,根据各相反电势随转子位置改变的原理有些专家提出了端电压检测法,把三相端电压经低通滤波器延时90度电角度,再经比较电路得到开关逻辑信号。但该方法存在着低通滤波器在电机低速时延对不足90度电角度的情况,导致触发信号提前切换,对电机电流、转矩产生较大的影响,严重时甚至会引起电机失步。因此,又有人在此基础上进行补充,低速时,采取三相端电压两两比较直接得出触发逻辑信号的方法,在整个运行段,根据不同的转速,在两个位置检测电路之间进行切换。随着微处理器的应用,利用软件的延时对方法可以完全简化端电压检测法的位置检测电路。沈建新在电工报发表文章提出用三相端电压和比较电压间接得到绕组反电势的过零点,然后用软件延迟l/12周期的时间再切换触发信号。但由于凸极电机中电枢反应和检测电路滤波器的影响会导致电机超前或滞后换流,因此他又发表了一文章对此进行了修正,并取得了良好的效果。“端电压检测法”虽能完成

转子位置的检测,但由于绕组的反电势正比于转子的转速,因此,在低速时就很难检测到反电势而会导致电机失步。

二、速度调节

根据无刷直流电机的机械特性转速为:

n=(u-AU-IaV?ray/Ke (1—1

广东工业大学T学硕卜学位论文

因此可以通过调节端电压U或定子电流Iav来实现调速。有学者介绍了利用降压型载波电路和两象限载波电路来进行调速的两种方法,两象限电路由于可以很快地控制电流,因此其动态性能远远高于只是靠通过调节端电压来调速的降压型电路,且前者还有回馈制动的功能,电流脉动也比后者小得多。也有人提出了用 PWM信号作为功率器件的触发信号,用调节PwM信号的占空比的方法来调速,该方法可以直接控制电机的相电流,因而调速性能更佳,也可以很好地抑制电流的脉动。

高性能的调速系统,尤其是速度伺服系统,需要有一适合于系统的控制策略,即速度调节器。大部分系统采用了数字PID调节器,但这对交流伺服系统有一定的局限性,因些就有专家采用PID控制与模糊控制相结合的Fuzzy—PID控制对速度环进行控制,取得了良好的效果,使系统具有Fuzzy和PID控制的双重优点,且在不同的负载下具有较强的鲁棒性。无刷直流电机是一个多变量、非线性、强耦合的对象,因此利用模糊控制、神经网络控制、专家系统等具有自学习、自适应、自组织功能的智能控制来进行对无刷直流电机的控制是一种有效的手段,这也是高性能伺服系统发展的趋势。但仅仅对速度的控制是远远满足不了伺服系统的要求的,要提高系统的性能,必须对电机的转矩进行控制。

三、转矩脉动的抑制

伺服系统的控制关键是对转矩的控制,但由于各种原因引起的转矩脉动问题严重影响了无刷直流电机在交流伺服系统中的应用,尤其在直接驱动应用的场合, 转矩

脉动使电机速度控制特性极度恶化。因而,抑制转矩脉动成为提高伺服系统性能的关键。对于齿槽效应和磁通畸变引起的转矩脉动的抑制有关专家提出了除从电机设计的角度进行改善外还要采用转矩闭环控制;对于相电流换向引起的转矩脉动的抑制有关专家提出了用滞环控制和PWM控制来抑制电机低速段的转矩脉动的方法,实验中也取得效果,但没有对电机高速段的转矩脉动提出相应的办法。

四、无刷直流电机的起动

对于有位置传感器的无刷直流电机来说,顺利起动是不存在什么问题了。但对于靠反电势进行位置检测的无位置传感器的无刷直流电机来说,由于静止时不产生反电势,从而使得怎样顺利起动成了控制无位置传感器的无刷直流电机的重

4

广东工业人学T学硕十学位论文

第3章空调三相无刷直流电机控制系统硬件设计 3.1引言

无刷直流电机控制系统目前主要有三种控制方式:专用集成电路芯片控制; 单片机控制;高速DSP控制。以专用集成电路芯片为核心的控制系统结构简单, 但不能灵活的控制各种参数;以高速DSP为核心的控制系统精度高、速度快,但开发周期长,成本高,但对于实时需推出新产品及成本控制严格的空调电机不宜采用;以单片机为核心的控制系统具有价格低,片内资源丰富,且可以灵活的编制程序控制,因此本空调用三相永磁无刷直流电机控制系统采用Atmel公司的AVR 系列单片机作为主控制芯片,以日立公司专用集成芯片为驱动电路。

3.2单片机选择

3.2.1单片机的选择依据

1.抗干扰能力。由于电机工作时会产生磁场,且比较恶烈,这就要求单片机能抵制这方面的干扰,否则无法正常工作。

2.价格因素。对于空调电机成本是关键,因此单片机价格极为重要,所以要选一种性价比比较高的单片机及其开发平台。

3.保密因素。电子产器保密因素是一个非常重要的问题,开发的产器被竞争对手享用,使竞争处于不利位置,因此所选单片机要有良好的加密功能

4.片上资源。要选择片上资源丰富的单片机,比如支持在线调试、下载功能, 有A/D转换模块等。对于本系统最起码要有捕捉及PWM波功能。

3.2.2AVR单片机微处理器介绍

AVR单片机是1997由Atmel公司研发出的增强型内置Flash程序存储器的

第3章空调三相无刷直流电机控制系统硬件设计

精简指令集CPU(Reduced Instruction Set CPU的高速单片机。AVR单片机可

以广泛应用于计算机外部设备、工业实时控制、仪器仪表、通信设备、家用电器等各处领域。

可靠性高、功能强、速度快、功耗低和价位低,一直是衡量单片机性能的重要指标,可是单片机占领市场、赖以生存的必要条件。早期的单片机由于工艺及设计水平不高、功耗和抗干扰性能差等原因,故采取稳妥方案:采用较高的分频系数对时钟分频,从而使得指令周期长、执行速度慢。以后的CMOS单片机虽然采用提高时钟频率和缩小分频系数等措施,但是这种状态并未彻底改观(MCS51及基兼容产品。虽然此期间有某些精简指令集单片机问世,但依然沿袭对时钟分频的做法。

AVR单片机的推出,彻底打破了这种旧设计格局,废除了机器周期,抛弃了复杂指令集CPU(Complex Instruction Set CPU追求指令完备的做法,采用精简指令集CPU(RISC,以字节做为指令长度单位,将内容丰富的操作数与操作码安排在一字之中(指令集占大多数的单指令周期指令都是如此,指令周期短,又可预指指令,实现流水线作业,故可提高速执行指令。当然这种速度上的升跃, 是以高可靠性为代价的。AVR单片机博采众长,又具独特技术,是8位机中的佼佼者。【1.】“61

3.2.3AVR单片机的特点

(1高性能,采用精简指令集CPU(RISC,32个通用工作寄存器,克服了 MCS51等CICS结构存在的指令系统不等长、指令数多、CPU利用率低、执行速度慢等缺点。采用哈佛结构的流水线技术,在执行一条指令时,下一条指令已经被取出来,所以说其机器周期等于时期周期,绝大部分指令为单周期指令。指令的执行速度可以达到20MHZ。

(2除了可以通过串行外设接口(SPI和一般的编程器对单片机的Flash 程序存储器和数据存储器EEPROM编程外,绝大部分AVR单片机支持程序在线编程(ISP,Atmega系列单片机还支持应用编程(IAP;同事AVR单片机采用了可擦写1000次的Flash程序存储器,并有大容量的可以擦写100000次的EEPROM,给用户开发、生产和维护带来方便,可以低价实现商用化,提高了产品的质量和竞

广东工业人学工学硕士学位论文

争力。

(3AVR单片机有丰富的外设,如片内程序Flash、Uart、模拟比较器、丰富的中断资源、IIC、SIP、EEPROM、RTC、WatchDog定时器,A/D转换器和PWM 和片内振荡器等,可以真正的做到单片。

(4AVR单片机的工作电压范围宽,工作电压在1.8—6V之间,电源的抗干扰能力强。

(5低功率,AVR单片机具有6种休眠功能,能够从低功耗模式迅速唤醒, 并采用可编程的频率选择和CMOS集成工艺。

(6编译好了的目标程序可以通过在系统编程(ISP直接写入内部的Flash 存储器,面不需要把芯片从系统上拆下来使用专用编程器来写入程序。这极大的方便了程序的修改和烧写等操作,方便产品升级,尤其是贴片封装,产品更利于微型化。

(7AVR单片机的I/O口具有较强的负载能力,,可以直接驱动LED,内置看门狗定时器,以防止程序跑飞。

(8和PIC单片机一样可以重新设置启动复位。AVR单片机内置电源上电复位POR和电源掉电检测BOD,提高了单片机的可靠性,不用外加复位延时电路。 (9有丰富的中断向量,具有34个中断源,不同的的中断向量和入口地址不一样,可以快速响应,而不像PIC单片机那样,所有中断源都占同一个中断向量地址。

(i0内部UART不占用定时器,而采用定时器,而采用独特的波特率发生器。有SPI传输功能。因为高速传输,所以晶振可以工作在一般标准整数频率, 且波特率可高达576Kbit/s.

(11具有高的代码效率,能在C语言、Basic语言环境下编译,有32个通用工作寄存器,线性寻址,完全免费的开发环境,包拓汇编器、支持汇编和高级语言源代码调试和模拟和仿真功能。

(12保密性强。AVR单片机Flash程序存储器具有保密死锁功能,并且Flash 深藏在芯片内部,难以破解。n"

3.3空调三相无刷直流电机硬件总体构架

本控制系统硬件主要由控制电路、驱动电路、显示电路、RS485接口电路组成,

第3章空调二三相无刷直流电机控制系统硬件设计

如图3-1硬件控制系统框图所示:

电源部分

l显示部分卜 t,

--

嘉霆舄I+|电机本体 t!; I转速给定H

MCU ;

l接口电路l?I 5l?+。‘ 'L 传感器一

光电隔离

上 I光电隔离

I I

+t 十 l 待罢膪呈 I速度反馈l ?一号用驱动芯片—_.卜俘直1百亏

处理电路图3-1硬件控制系统框图

Fig.3—1control system hardware structure block

由上一章永磁无刷直流电机运行原理可以知道,永磁无刷直流电机的平均工作电流与转速成反比例函数关系,且空调电机带的风叶负载是恒定,也即转矩随转速成线性关系,因此控制无刷直流电机的电磁转矩也可以通过控制转速来实现。从图3—1可以看出,本系统是速度闭环系统。霍尔位置传感器的位置信号经信号处理后送专用驱动芯片后产生一个速度脉冲信号经单片机处理转换成转速,再运用增量式PI算法,得到PWM控制信号经过光耦隔离电路驱动专用集成驱动芯片闭环控制转速。同时,单片机还监控控制系统的运行状态,当系统出现短路、过流、过压等故障时,单片机将封锁PWM输出信号,使电机停机,并通过LED电路显示故障。由于客户对控制系统的需求不同,本系统设计的各部分在保持相互独立性的同时,又留好相应的接口以便构成一个完整的系统。

3.4控制电路

本系统主硬件控制电路由控制由Atmega8L单片机、PWM信号产生及处理电路、电流检测电路、转速检测电路、隔离电路及接口电路组成。如图3-2所示。下面主要介绍PWM波产生及处理电路、电流检测电路、转速检测电路,隔离电路,接口电路这里就不作详细论述。

3.4.1PWM信号产生及处理电路

脉冲宽度调制(PWM是英文“Pulse Wi dth Modulation”的缩写,简称脉宽调制。它是利用微处理器的数字输出来对模拟电路信号进行控制的一种非常有效的技术,广泛应用于测量,通信,功率控制与变换等各个领域。

了r 自TM^0A8

图3-2Atmega8L主控电路

Fig.3-2Atmega8L’S main control Circuit

本控制系统利用ATmega8LP勺部定时器产生定频调宽的PWM波信号来控制无刷直流电机的转速。定频调宽是一种常见的脉宽调制方式,它使脉冲的频率(也即

第3章宅调三相无刷直流电机控制系统硬件设计

周期保持不变,脉冲宽度可调。

ATmega8L的Timer2ZE作在快速PWM模式时可产生高频的PWM波形。快速PWM模式与其他PWM模式的不同之处是其单边斜坡工作方式。计数器从BOTTOM计至UMAX,然后立即回至UBOTTOM重新开始。由于使用了单边斜坡模式,快速PWM模式的工作频率比使用双斜坡的相位修正PWM模式高一倍。工作于快速PWM模式时,计数器的数值一直增加至UMAX,然后在后面的一个时钟周期清零。具体的时序图为图3—3。图中柱状的计数器寄存器TCNT2表示这是单边斜坡操作。方框图同时包含了普通的PWM 输出以及方向PWM输出。计数器寄存器TCNT2斜坡上的短水平线表示比较匹配寄存器OCR2与计数器寄存器TCNT2的比较匹配。具体的程序后章节会详细论述。

输出的快速PWM频率可以通过式3-1计算得到:

fP删=fi/。/(N.256 (3—1

式中fi/o表示系统频率,N代表分频因子(1、8、32、64、128、256或1024。256是计数值个数。

TCNTn OCn

Oc蓍酾㈣麟F沏Set

oe翱Update

and

TOI/n interrupt Flag 8戡 , 1F _『● r11r 11r

././/.//‘ /./.,‘ / ./,

『_

《C

Perkad卜,+2—一3—十-4—卜5—十一e—+-7一

图3-3快速PWM时序图

PWM产生了之后还要经过处理才能得到想要输出的输出信号。如图3—4所示,

广东工业大学工学硕士学位论文

ATmega8L产生的PWM信号通过光电耦合在P521的3脚产生同周期的PWM 信号经分压滤波之后输出一个oN6v的用于驱动电路的调速电压,图中稳压二极管使P521的4脚稳N9v,P521的3脚的PWM信号经两级RC滤波之后变得平滑,P52l 起到了主控电路与驱动电路电气隔离作用。n鲫

3.4.2电流检测电路

图3—4PWM处理电路 Fig.3-4PWM dispose circuit

市面上的电流传感器品种繁多,大多数是测交流信号,测直流的比较少且大多数直流传感器都是经过二次变压才得到直流信号,使得传感器体积大,价格贵。经过比较本控制系统测试系统采用大概1美元左右的A1legro公司的ACS712集成芯片扩展外围电路进行测量。

1.ACS712的特点

●低噪音模拟信号路径

●可通过新的滤波引脚设置器件带宽

●5肛s输出上升时间,对应步进输入电流

PWM控制直流电机的系统的设计

电力电子与电机拖动综合课程设计 题目: PWM控制直流电机的系统 专业: 05自动化 学号: 200510320219 姓名:张建华 完成日期: 指导教师:李晓高

电力电子与电机拖动综合课程设计任务书 班级:自动化05 姓名:张建华指导老师:2008年6月10日 年月日

目录

1 引言 直流电机由于具有速度控制容易,启、制动性能良好,且在宽范围内平滑调速等特点而在冶金、机械制造、轻工等工业部门中得到广泛应用。直流电动机转速的控制方法可分为两类,即励磁控制法与电枢电压控制法。励磁控制法控制磁通,其控制功率虽然小,但低速时受到磁饱和的限制,高速时受到换向火花和换向器结构强度的限制;而且由于励磁线圈电感较大,动态响应较差。所以常用的控制方法是改变电枢端电压调速的电枢电压控制法。调节电阻R即可改变端电压,达到调速目的。但这种传统的调压调速方法效率低。随着电力电子技术的进步,发展了许多新的电枢电压控制方法,其中PWM(脉宽调制)是常用的一种调速方法。其基本原理是用改变电机电枢(定子)电压的接通和断开的时间比(占空比)来控制马达的速度,在脉宽调速系统中,当电机通电时,其速度增加;电机断电时,其速度减低。只要按照一定的规律改变通、断电的时间,即可使电机的速度达到并保持一稳定值。最近几年来,随着微电子技术和计算机技术的发展及单片机的广泛应用,使调速装置向集成化、小型化和智能化方向发展。 本电机调速系统采用脉宽调制方式, 与晶闸管调速相比技术先进, 可减少对电源的污染。为使整个系统能正常安全地运行, 设计了过流、过载、过压、欠压保护电路, 另外还有过压吸收电路。确保了系统可靠运行。 2 系统概述 2.1 系统构成 本系统主要有信号发生电路、PWM速度控制电路、电机驱动电路等几部分组成。整个系统上采用了转速、电流双闭环控制结构,如图1所示。在系统中设置两个调节器,分别调节转速和电流,二者之间实行串级连接,即以转速调节器

一种无刷直流电动机控制系统设计

一种无刷直流电动机控制系统设计

————————————————————————————————作者:————————————————————————————————日期:

一种无刷直流电动机控制系统设计 摘要:介绍了MOTORALA公司专门用于无刷直流电机控制的芯片MC33035和 MC33039的特点及其工作原理,系统设计分为控制电路与功率驱动电路两大部分,控制电路以MC33035/33039为核心,接收反馈的位置信号,与速度给定量合成,判断通电绕组并给出开关信号。在驱动电路设计中,采用三相Y联结全控电路,使用六支高速MOSFET 开关管组成。通过实验,电机运行稳定。 关键词:无刷直流电机;MC33035/33039;控制电路;驱动电路 Design of control system for Brushless DC Motors SUN GuanQun;SHI Ming;TONG LinYi;XU YiPing Abstract:It introduces the MOTORALA company used for the characteristics o f the chip MC33035 and MC33039 which control the brushless direct curren t motor exclusively and its work principle. The system design divides into tw o major parts: the control circuit and the power driver circuit, the control circ uit take MC33035/33039 as the core, receive feedback position signal, with th e speed to the quota synthesis, the judgment circular telegram winding and p roduces the switching signal. In the actuation circuit design, uses the three-p hase Y joint all to control the electric circuit, uses six high speed MOSFET swit ching valve to compose. Through the experiment, the electric motor moveme nt stable is reliable. Keywords:Brushless DC motor;MC33035/33039;control circuit;drive circuit 1.引言 永磁直流无刷电机是近年来迅速成熟起来的一种新型机电一体化电机。该电机由定子、 转子和转子位置检测元件霍尔传感器等组成,由于没有励磁装置,效率高、结构简单、工作特 性优良,而且具有体积更小、可靠性更高、控制更容易、应用范围更广泛、制造维护更方便 等优点,使无刷电机的研究具有重大意义。 本系统设计是利用调压调速,根据调整供电PWM电源的占空比进而调整电压的方式实 现。本设计采用无刷直流电机专用控制芯片MC33035,它能够对霍尔传感器检测出的位置 信号进行译码,它本身更具备过流、过热、欠压、正反转选择等辅助功能, 组成的系统所需 外围电路简单,设计者不必因为采用分立元件组成庞大的模拟电路,使得系统的设计、调试 相当复杂,而且要占用很大面积的电路板。 MC33035和MC33039这两种集成芯片也可以方便地完成无刷直流电动机的正反转、 运转起动以及动态制动、过流保护、三相驱动信号的产生、电动机转速的简易闭环控制等。

直流无刷电机硬件设计文档

硬件电路设计说明书V1 文档版本 1.0 编写人:彭威 编写时间:2015-06-10 部门:研发部 审核人: 审核时间:

1.引言 1.1编写目的 本文档是无刷直流电机风机盘管电源电路及控制驱动电路的硬件设计说明文档,它详细描述了整个硬件模块的设计原理,其主要目的是为无刷直流电机控制驱动电路的原理图设计提供依据,并作为 PCB 设计、软件驱动设计和上层应用软件设计的参考和设计指导。 1.2产品背景 1.3参考资料 Datasheet:Kinetis KE02 Datasheet:MKE02Z16VLC2 Datasheet:MKE02Z64M20SF0RM Datasheet:FSB50760SFT Datasheet:TNY266 Datasheet:FAN7527 2.硬件电路概述 2.1电源部分 电源部分主要功能是提供400V直流电供给电机,另外提供15V直流电给电机驱动芯片供电。采用反激式开关电源设计。 2.1.1总体方案

设计一款 100W驱动开关电源。给定电源具体参数如下: (1)输入电压:AC 85V~265V (2)输入频率:50Hz (3)工作温度:-20℃~+70℃ (4)输出电压/电流:400V/0.25A (5)转换效率:≧85% (6)功率因数:≧90% (7)输出电压精度:±5% 系统整体框架如下 如图所示为电源的整体架构框图,主要目的是在输入的85~265V、50Hz交流电下,输出稳定的恒压电机驱动直流电。由图可知,电源电路主要包括了前级保护电路模块、差模共模滤波模块、整流模块、功率因数校正模块、DC/DC模块。其中EMI滤波电路能够抑制自身和电源线产生的电磁污染,功率因数校正电路采用Boost有源功率因数

无刷直流电机的驱动及控制

无刷直流电机驱动 James P. Johnson, Caterpiller公司 本章的题目是无刷直流电动机及其驱动。无刷直流电动机(BLDC)的运行仿效了有刷并励直流电动机或是永磁直流电动机的运行。通过将原直流电动机的定子、转子内外对调—变成采用包含电枢绕组的交流定子和产生磁场的转子使得该仿效得以可能。正如本章中要进一步讨论的,输入到BLDC定子绕组中的交流电流必须与转子位置同步更变,以便保持磁场定向,或优化定子电流与转子磁通的相互作用,类似于有刷直流电动机中换向器、电刷对绕组的作用。该原理的实际运用只能在开关电子学新发展的今天方可出现。BLDC电机控制是今天世界上发展最快的运动控制技术。可以预见,随着BLDC的优点愈益被大家所熟知且燃油成本持续增加,BLDC必然会进一步广泛运用。 2011-01-30 23.1 BLDC基本原理 在众文献中无刷直流电动机有许多定义。NEMA标准《运动/定位控制电动机和控制》中对“无刷直流电动机”的定义是:“无刷直流电动机是具有永久磁铁转子并具有转轴位置监测来实施电子换向的旋转自同步电机。不论其驱动电子装置是否与电动机集成在一起还是彼此分离,只要满足这一定义均为所指。”

图23.1 无刷直流电机构形 2011-01-31 若干类型的电机和驱动被归类于无刷直流电机,它们包括: 1 永磁同步电机(PMSMs); 2 梯形反电势(back - EMF)表面安装磁铁无刷直流电机; 3 正弦形表面安装磁铁无刷直流电机; 4 内嵌式磁铁无刷直流电机; 5 电机与驱动装置组合式无刷直流电机; 6 轴向磁通无刷直流电机。 图23.1给出了几种较常见的无刷直流电机的构形图。永磁同步电机反电势是正弦形的,其绕组如同其他交流电机一样通常不是满距,或是接近满距的集中式绕组。许多无刷直流电

直流电机控制系统设计

直流电机控制系统设计

XX大学 课程设计 (论文) 题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 2012年7 月9 日至2012年7 月20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。 指导教师年月日 负责教师年月日

学生签字年月日 目录 0 前言 (1) 1 总体方案设计 (2) 1.1 系统方案 (2) 1.2 系统构成 (2) 1.3 电路工作原理 (2) 1.4 方案选择 (3) 2 硬件电路设计 (3) 2.1 系统分析与硬件设计 (3) 2.2 单片机AT89C52 (3) 2.3 复位电路和时钟电路 (4) 2.4 直流电机驱动电路设计 (4) 2.5 键盘电路设计 (4) 3软件设计 (5) 3.1 应用软件的编制和调试 (5) 3.2 程序总体设计 (5) 3.3 仿真图形 (7) 4 调试分析 (9) 5 结论及进一步设想 (9) 参考文献 (10) 课设体会 (11) 附录1 电路原理图 (12) 附录2 程序清单 (13)

基于无刷直流电机控制系统设计与实现

基于无刷直流电机控制系统设计与实现 发表时间:2017-10-20T11:19:09.350Z 来源:《防护工程》2017年第15期作者:樊圣至[导读] 为了摆脱此系统对进口技术的依赖性,应深入研究其控制系统,提升设计水平,从而实现煤矿开采的自动化。交通运输部东海第一救助飞行队摘要:无刷直流电机具备体积小、效率高以及控制精度高等优势,且在多个领域得到了广泛使用。但在部分控制系统中,外加干扰以及参数摄动等因素干扰了系统的动静态性,基于此,本文在分析无刷直流电机结构与运行原理的基础上,指出了其软硬件方面的优化控制措施,以期为此后无刷直流电机控制系统的设计工作提供更多的参考依据。 关键词:无刷直流电机;控制系统;设计与实现 1 无刷直流电机结构 电机本体、位置测算结构、电子换相逻辑等均属于无刷直流电机的组成结构,且其与永磁同步电机较为相似。相较直流电机,无刷直流电机旋转的转子为磁极,而直流电机为绕组。且定子主要由电枢绕组、定子铁芯以及其他固定部件组成,电枢绕组一般采用三相Y型绕法,而转子磁极则采用稀土永磁钢片组成,安装在转子表面。 2 无刷直流电机软硬件设计2.1系统硬件部分 2.1.1系统硬件结构 系统硬件主要包括整流电路、开关电源电路、控制芯片、信号隔离电路、调试电路、逆变功率电路以及电流电压检测与保护电路等,其具体结构如下图1所示。 图1 无刷直流电机控制系统硬件结构组成图其中键盘控制系统信息,比如完成启动、停机、速度给定以及系统参数的在线修改等工作。系统交流电源通过整流桥获得直流电源,并供给全桥逆变以及开关电源电路。而开关电源电路则为系统提供24V以及5V的直流电源,电压检测电路通过模数转换获得电压时值,通过母线电压的监控实行过压保护动作,而主控芯片则通过判断输入信息进行控制命令。 2.1.2电源部分分路 整个系统能量的主要来源便是电源,且其呈现出交流、直流以及交流的变化过程,整个电路被分为强电与弱电两个组成部分,且单相220伏的交流电在整合后会形成310伏的直流电,为逆变电路以及开关电路提供能量。首先是整流电路,包括单相全桥不可控整流电路以及电容充电电流限制电路两个组成部分,当电机功率为1.5kW时,控制器的输出能力设定为2.2kW,且上电瞬间直流电源对电容充电,断开继电器,且电流在经过电阻的过程中得到缓冲。其次是电源电路,主要由变压器、IC1以及MC7085等部分组成,其中IC1为电源的专门控制面板。且开关电源处于电压工作模式,IC1通过电压反馈调整PWM的输出功率,从而维持电源电压的稳定运行。最后是芯片电源电路,主要采用主控芯片为3.3伏的工作电平。 2.1.3主控芯片以及周边电路研究中采用适合电机控制领域的32位Cortex -M3核的单片机,可以达到较高的运算效率,且其时钟频率为72赫兹,具备丰富的外设资源。在设计管脚分配以及附属电路时应在参考专业手册的基础上进行,第一,对于引脚60的外接电路,芯片应处于下载设置状态,且系统完成后还应焊接0欧姆的电阻,以保持引脚的低电平状态。第二,对于晶振电路应采用8M外部晶体的振荡器,且电源与大地之间连接电容,以排除电源的耦合干扰。第三,PWM信号输出控制电路,应采用安全性较强的芯片,且在芯片输出后以及光电隔离之前设置74ACT244以有效控制信号的总输出。第四,键盘系统属于独立通信模块,设计时应按照协议要求编写通讯软件即可使用。 2.1.4功率器元件以及驱动电路GTO、MOSFET、GTR、IGBT以及IPM等均属于常用的功率开关元件,且设计期间,应根据元件管件的耐压程度、最大开关频率等因素进行选择。本次研究中,电机控制要求较高的开关频率;较小的导通阻抗以及较小的驱动功率,因此可以选择MOSFET、IPM以及IGBT。比较发现,IGBT具备大电流以及低导通阻抗的特点,可以保持开关频率;而IPM则在内部集成了过高电压、过大电流以及高温的检测系统,且可以在引脚处输出故障信号,降低了系统的损害率。但考虑到此次研究的试验性质,因此应选择IGBT的分立元件组建全桥逆变电路,并确定1200伏的耐压与25安的额定电流,上升时间为50毫秒。 2.1.5模拟量采集与故障电路

无刷直流电机控制系统的设计

1引言无刷直流电机最本质的特征是没有机械换向器和电刷所构成的机械接触式换向机构。现在,无刷直流电机定义有俩种:一种是方波/梯形波直流电机才可以被称为无刷直流电机,而正弦波直流电机则被认为是永磁同步电机。另一种是方波/梯形波直流电机和正弦波直流电机都是无刷直流电机。国际电器制造业协会在1987年将无刷直流电机定义为“一种转子为永磁体,带转子位置信号,通过电子换相控制的自同步旋转电机”,其换相电路可以是独立的或集成于电机本体上的。本次设计采用第一种定义,把具有方波/梯形波无刷直流电机称为无刷直流电机。从20世纪90年代开始,由于人们生活水平的不断提高和现代化生产、办公自动化的发展,家用电器、工业机器人等设备都向着高效率化、小型化及高智能化发展,电机作为设备的重要组成部分,必须具有精度高、速度快、效率高等优点,因此无刷直流电机的应用也发展迅速[1]。 1.1 无刷直流电机的发展概况 无刷直流电动机是由有刷直流电动机的基础上发展过来的。 19世纪40年代,第一台直流电动机研制成功,经过70多年不断的发展,直流电机进入成熟阶段,并且运用广泛。 1955年,美国的D.Harrison申请了用晶体管换相线路代替有刷直流电动机的机械电刷的专利,形成了现代无刷直流电动机的雏形。 在20世纪60年代初,霍尔元件等位置传感器和电子换向线路的发现,标志着真正的无刷直流电机的出现。 20世纪70年代初,德国人Blaschke提出矢量控制理论,无刷直流电机的性能控制水平得到进一步的提高,极大地推动了电机在高性能领域的应用。 1987年,在北京举办的德国金属加工设备展览会上,西门子和博世两公司展出了永磁自同步伺服系统和驱动器,引起了我国有关学者的注意,自此我国开始了研制和开发电机控制系统和驱动的热潮。目前,我国无刷直流电机的系列产品越来越多,形成了生产规模。 无刷直流电动机的发展主要取决于电子电力技术的发展,无刷直流电机发展的初期,由于大功率开关器件的发展处于初级阶段,性能差,价格贵,而且受永磁材料和驱动控制技术的约束,这让无刷直流电动机问世以后的很长一段时间内,都停

直流无刷电机的控制系统设计方案

直流无刷电机的控制系统设计方案1 引言 1.1 题目综述 直流无刷电机是在有刷直流电机的基础上发展起来的,它不仅保留了有刷直流电机良好的调试性能,而且还克服了有刷直流电机机械换相带来的火花、噪声、无线电干扰、寿命短及制造成本高和维修困难等等的缺点。与其它种类的电机相比它具有鲜明的特征:低噪声、体积小、散热性能好、调试性能好、控制灵活、高效率、长寿命等一系列优点。基于这么多的优点无刷直流电机有了广泛的应用。比如电动汽车的核心驱动部件、电动车门、汽车空调、雨刮刷、安全气囊;家用电器中的DVD、VCD、空调和冰箱的压缩机、洗衣机;办公领域的传真机、复印机、碎纸机等;工业领域的纺织机械、医疗、印刷机和数控机床等行业;水下机器人等等诸多应用[1]。 1.2 国内外研究状况 目前,国内无刷直流电机的控制技术已经比较成熟,我国已经制定了GJB1863无刷直流电机通用规范。外国的一些技术和中国的一些技术大体相当,美国和日本的相对比较先进。当新型功率半导体器件:GTR、MOSFET、IGBT等的出现,以及钕铁硼、钐鈷等高性能永磁材料的出现,都为直流电机的应用奠定了坚实的基础。近些年来,计算机和控制技术快速发展。单片机、DSP、FPGA、CPLD等控制器被应用到了直流电机控制系统中,一些先进控制技术也同时被应用了到无刷直流电机控制系统中,这些发展都为直流电机的发展奠定了坚实的基础。 经过这么多年的发展,我国对无刷电机的控制已经有了很大的提高,但是与国外的技术相比还是相差很远,需要继续努力。所以对无刷直流电机控制系统的研究学习仍是国内的重要研究内容[2]。 1.3 课题设计的主要内容 本文以永磁方波无刷直流电机为控制对象,主要学习了电机的位置检测技术、电机的启动方法、调速控制策略等。选定合适的方案,设计硬件电路并编写程序调试,最终设计了一套无位置传感器的无刷直流电机调速系统。本课题涉及的技术概括如下:

直流无刷电机本体设计解读

电机与拖动基础 课程设计报告 设计题目: 学号: 指导教师: 信息与电气工程学院 二零一六年七月

直流无刷电机本体设计 1. 设计任务 (1) 额定功率 80N P W = (2) 额定电压310N U V ≤ (3) 电动机运行时额定转速 1000/min N n r = (4) 发电机运行时空载转速max 6000/min n r = (5) 最大允许过载倍数 2.5λ= (6) 耐冲击能力21500/m a m s = (7) 机壳外径42D mm ≤ 设计内容: 1. 根据给定的技术指标,计算电机基本尺寸,包括:定子铁心外径、定子铁心内径、铁心长度等。 2. 磁路计算,包括极对选择、磁钢选型、磁钢厚度、气隙长度等方面计算。 3. 定子绕组计算,包括定子绕组形式、定子槽数、绕组节距等计算。 2. 理论与计算过程 2.1 直流无刷电机的基本组成环节 直流无刷电动机的结构原理如图2-1-1所示。它主要由电机本体、位置传感器和电子开关线路三部分组成。电机本体在结构上与永磁同步电动机相似,但没有笼型绕组和其他起动装置。其定子绕组一般制成多相(三相、四相、五相不等),转子由永久磁钢按一定极对数(2p=2,4,……)组成。图中的电机本体为三相电机。三相定子绕组分别与电子开关线路中相应的功率开关器件连接,位置传感器的跟踪转子与电动机转轴相连接。 当定子绕组的某一相通电时,该电流与转子永久磁钢的磁极所产生的磁场相互作用而产生转矩,驱动转子旋转,再由位置传感器将转子磁钢位置变换成电信号,去控制电子开关线路,从而使定子各相绕组按一定次序导通,定子相电流随转子位置的变化而按一定的次序换相。由于电子开关线路的导通次序是与转子转角同步的,因而起到了机械换向器的换向作用。 因此,所谓直流无刷电机,就其基本结构而言,可以认为是一台由电子开关线路、永磁式同步电机以及位置传感器三者组成的“电动机系统”。其原理框图如图2-1-2所示。

基于MC33035芯片的无刷直流电机驱动系统设计

基于MC33035的无刷直流电机驱动控制系统设计 摘要 随着社会的发展和人民的生活水平提高,人们对交通工具的需求也在不断发展和提高。电动自行车作为一种“绿色产品”已经在全国各省市悄然兴起,进入千家万户,成为人们,特别是中老年人和女士们理想的交通工具,受到广大使用者的喜爱。 MC33035的典型控制功能包括PWM开环速度控制、使能控制(起动或停止) 、正反转控制和能耗制动控制。此芯片具有过流保护、欠压保护、欠流保护、又因此芯片低成本、高智能化、从而简化系统构成、降低系统成本、增强系统性能、满足更多应用场合的需要。 设计的直流无刷电机控制器是采用 MC33035 芯片控制的,以本次设计结果表明,MC33035的典型控制功能带有可选时间延迟锁存关断模式的逐周限流特性以及内部热关断等特性。电动自行车作为一种新型交通工具已经在社会上引起很大的影响并受到广大使用者的喜爱。 关键词:电动自行车,无刷直流电机,MC33035,位置传感器

THE BRUSHLESS DC MOTOR DRIVE SYSTEM DESIGN BASED ON MC33035 CHIP ABSTRACT With the rapid development of technology, new energy technologies in recent years have been widely used. For example, the small size, light weight, high efficiency, low noise, large capacity and high reliability features such as permanent magnet brushless DC motor-driven bike. MC33035 Typical control functions include open loop PWM speed control so that it can control (start or stop), reversing control and braking control. This chip is overcurrent protection, undervoltage protection, under current protection, and therefore chip cost, high intelligence, which simplifies the system structure, lower system costs, increase system performance to meet the needs of more applications. The design of the brushless DC motor controller is controlled by MC33035 chip to this design results show that, MC33035 typical time delay control with an optional latch-by-week shutdown mode current limiting characteristics, and internal thermal shutdown characteristics. Electric bicycles as a mode of transportation has caused a great impact on society and loved by the majority of users. KEY WORDS: electric-bicycle, brushless DC motor, MC33035, position sensors

直流电机控制系统设计范本

直流电机控制系统 设计

XX大学 课程设计 (论文)题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 7 月 9 日至 7 月 20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。

指导教师年月日 负责教师年月日 学生签字年月日 目录 0 前言...................................................................................... 错误!未定义书签。 1 总体方案设计 ...................................................................... 错误!未定义书签。 1.1 系统方案 ...................................................................... 错误!未定义书签。 1.2 系统构成 ...................................................................... 错误!未定义书签。 1.3 电路工作原理............................................................... 错误!未定义书签。 1.4 方案选择 ...................................................................... 错误!未定义书签。 2 硬件电路设计 ...................................................................... 错误!未定义书签。 2.1 系统分析与硬件设计................................................... 错误!未定义书签。 2.2 单片机AT89C52............................................................ 错误!未定义书签。 2.3 复位电路和时钟电路................................................... 错误!未定义书签。 2.4 直流电机驱动电路设计 ............................................... 错误!未定义书签。 2.5 键盘电路设计............................................................... 错误!未定义书签。 3 软件设计 ............................................................................ 错误!未定义书签。 3.1 应用软件的编制和调试 ............................................... 错误!未定义书签。 3.2 程序总体设计............................................................... 错误!未定义书签。 3.3 仿真图形 ...................................................................... 错误!未定义书签。 4 调试分析 .............................................................................. 错误!未定义书签。

无刷直流电机控制系统的Proteus仿真

无刷直流电机控制系统的Proteus仿真-机械制造论文 无刷直流电机控制系统的Proteus仿真 王家豪潘玉民 (华北科技学院电子信息工程学院,河北三河101601) 【摘要】基于Proteus软件仿真平台,提出了一种对无刷直流电机(BLDCM)控制系统实现了转速闭环控制的方案。该系统以AT89S52单片机为核心,采用IR2101芯片驱动及AD1674实现速度,并利用数码动态显示转速,通过增量式PID调节对无刷直流电机实现转速闭环稳定控制。仿真结果表明该系统具有可控调速、显示直观等特点。 关键词无刷直流电机(BLDCM);Proteus;增量式PID;闭环控制 0引言 无刷直流电机(BLDCM)既有直流有刷电机的特性,又有交流电机无刷的优点,在快速性、可控性、可靠性、输出转矩、结构、耐受环境和经济性等方面具有明显的优势,近年来得到迅速推广[1]。BLDCM是一种用电子换向取代机械换向的新一代电动机,与传统的直流电动机相比,它具有过载能力强,低电压特性好,启动电流小等优点。近年来在工业运用方面大有取代传统直流电动机的趋势,所以研究无刷直流电机的驱动控制技术具有重要的实际应用价值。 本设计采用增量式PID控制策略控制无刷电动机,并在Proteus平台上进行转速闭环系统仿真。搭建了无刷直流电动机转速控制系统的仿真模型,基于80C51控制核心,采用keil C51软件编写C程序。 1系统硬件组成 控制系统的硬件组成如图1所示。采用Atmel公司的AT89S52单片机为系统

控制核心、IR2101驱动的MOSFET三相桥式逆变器、无刷直流电机、A/D转换转速检测、闭环PID控制、按键检测、档位和转速显示等部分组成。 2控制系统核心及外围电路 系统核心AT89S52单片机最小系统及按键电路如图2所示。 AT89S52芯片是8位单片机,具有廉价、实用及运算快等优点,它有两个定时器,两个外部中断接口,24个I/O口,一个串行口。 单片机首先进行初始化,将显示部分(转速显示、档位显示)送显“0”然后通过中断对按键进行检测当检测到启动键按下时,系统启动,控制核心输出初始控制码,与此同时通过AD转换器读取当前的实时转速,一方面用于显示,另一方面将当前转速与设定转速送入PID控制环节然后输出下一时刻的控制码。 在本次设计中使用80C51的外部中断接口0(INT0)作按键检测(见图3),通过四个与门,当有任何一个按键按下去时tap端都会出现低电平引发中断。

无刷直流电机软件的设计

4.3 控制器软件设计 软件设计是控制系统最重要的一个组成部分,软件设计的好坏直接关系着整个控制系统性能的优良,控制系统的软件设计一定要具备实时性、可靠性和易维护性,对此,选择一款简单、方便的开发环境对于系统软件的整体优化以及提高整个系统的开发效率有很大的影响。目前支持STM 32系列控制芯片且应用比较广泛的主要有IAR EWARM和KEIL MDK这两个集成开发环境,本文采用的开发环境是KEIL MDK,它是ARM 公司推出的嵌入式微控制器开发软件,集成了业界领先的Vision 4开发平台,具有良好的性能,是ARM开发工具中的最好的选择,适合于不同层次的开发人员使用,尤其是它与我们经常使用的51单片机开发环境Keil C51的整体布局和使用方法类似,只有一些地方不同,操作起来比较熟练,很容易上手,极大的减小了开发人员的使用难度,缩短了开发周期,提高了开发效率,因此这款KEIL MDK得到了很多人的认可。 STM 32的软件开发主要开发方式有2种,就是基于寄存器的开发和基于库函数的开发,其中基于寄存器的开发方式就更51单片机的开发差不多,它是通过直接操作芯片内部的各个寄存器来达到控制芯片的目地,这种方式较直观,程序运行占用的资源少,但对于STM 32这种寄存器数目非常多的芯片来说,采用寄存器的开发方式会减慢开发速度,还让程序可读性降低。而基于库函数的开发方式则是对寄存器的封装,它向下处理与寄存器直接相关的配置,向上为用户提供配置寄存器的接口,这种方式大大降低了使用STM 32的条件,不仅提高了开发效率,而且程序还具有很好的可读性和移植性,因此本文采用的是基于库函数的开发方式,编程语言全采用 C 语言。

直流电机控制系统设计(1)

湖南工程学院课程设计《DSP原理及应用》 题目:直流电机控制系统设计 专业: 班级: 姓名: 学号: 指导教师: 2015年5 月19 日

摘要 直流电动机具有优良的调速特性,调速平滑,方便,调速范围广,过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;能满足生产过程中自动化系统各种不同的特殊运行要求。电动机调速系统采用微机实现自动控制,是电气传动发展的主要方向之一。采用微机控制后,整个调速系统体积小,结构简单、可靠性高、操作维护方便,电动机稳态运转时转速精度可达到较高水平,静动态各项指标均能较好地满足工业生产中高性能电气传动的要求。 本篇论文介绍了基于单片机的直流电机PWN调速的基本办法,直流电机调速的相关知识以及PWM调速的基本原理和实现方法。重点介绍了基于TMS320LF2407单片机的用软件产生PWM信号以及信号占空比调节的方法。对于直流电机速度控制系统的实现提供了一种有效的途径。 关键词:单片机最小系统;PWM ;直流电机调速,TMS320LF2407;

前言 电动机作为最主要的机电能量转换装置,其应用范围已遍及国民经济的各个领域和人们的日常生活。无论是在工农业生产,交通运输,国防,航空航天,医疗卫生,商务和办公设备中,还是在日常生活的家用电器和消费电子产品(如电冰箱,空调,DVD等)中,都大量使用着各种各样的电动机。据资料显示,在所有动力资源中,百分之九十以上来自电动机。同样,我国生产的电能中有百分之六十是用于电动机的。电动机与人的生活息息相关,密不可分。电气时代,电动机的调速控制一般采用模拟法,对电动机的简单控制应用比较多。简单控制是指对电动机进行启动,制动,正反转控制和顺序控制。然而近年来,随着技术的发展和进步,以及市场对产品功能和性能的要求不断提高,直流电动机的应用更加广泛,尤其是在智能机器人中的应用。直流电动机的起动和调速性能、过载能力强等特点显得十分重要,为了能够适应发展的要求,单闭环直流电动机的调速控制系统得到了很大的发展。而作为单片嵌入式系统的核心—单片机,正朝着多功能、多选择、高速度、低功耗、低价格、大存储容量和强I/O功能等方向发展。随着计算机档次的不断提高,功能的不断完善,单片机已越来越广泛地应用在各种领域的控制、自动化、智能化等方面,特别是在直流电动机的调速控制系统中。这是因为单片机具有很多优点:体积小,功能全,抗干扰能力强,可靠性高,结构合理,指令丰富,控制功能强,造价低等。所以选用单片机作为控制系统的核心以

开题报告无刷直流电机的控制系统

合肥师范学院本科生毕业论文(设计)开题报告 (学生用表) 装 订 线

第l章主要叙述了无刷直流电机的发展趋势、无刷直流电机的控制技术、研究背景及意义。 第2章首先介绍了无刷直流电机的基本结构和工作原理,然后给出了常见的无刷直流电机的数学模型及其推导过程,在此基础上对无刷直流电机的稳态特性进行了详细分析。 第3章对本控制系统的总体结构和设计进行介绍。主要包括控制系统的整体方案,控制芯片,控制技术以及控制策略的选择。 第4章对控制系统的硬件电路进行设计,包括DSP最小系统、功率驱动电路、采样检测电路、保护电路等的设计,并对各个部分进行了详细的分析。 第5章以TI公司的CCS开发环境为开发工具,对整个控制系统的软件部分进行了设计。 第6章总结与展望,总结了本文的主要工作,展望了以后工作的研究方向。 五、可行性分析 此次研究是在指导老师的指导下搜集,查阅相关资料,确定能够通过应用DSP 芯片进行控制是最优方案,采用TI公司的TMS320F2812作为控制器。根据现在无刷直流电机的控制技术的发展水平和未来的发展趋势及可操作性进行分析,该课题能够顺利进行。 六、设计方案 6.1无刷直流电机的基本结构 无刷直流电机的设计思想来源于利用电子开关电路代替有刷直流电机的机械换向器。普通有刷直流电机由于电刷的换向作用,使得电枢磁场和主磁场的方向在电机运行的过程中始终保持相互垂直,这样能够产生最大的转矩,从而驱动电机不停地运转下去。无刷直流电机取消电刷实现了无机械接触换相,做成“倒装式直流电机"的结构,将电枢绕组和永磁磁钢分别放在定子和转子侧。无刷直流电机必须具有由控制电路、功率逆变桥和转子位置传感器共同组成的换相装置以实现电机速度和方向的控制[5]。因此,可以认为无刷直流电机是典型的机电一体化器件,其基本结构由电动机本体、驱动控制电路及转子位置传感器三部分组成,如图所示。 无刷直流电机的构成 6.2无刷直流电机的工作原理 普通直流电机的电枢在转子上,而定子产生固定不变的磁场。为了使直流电机旋转,需要通过换相器和电刷不断地改变电枢绕组中电流的方向,使两个磁场的方向始终保持相互垂直,从而产生恒定的转矩驱动电动机不断旋转[6]。 无刷直流电动机为了去掉电刷,将电枢放到定子上,而转子做成永磁体,这样的结构正好与普通直流电动机相反。然而即便是这样的改变仍然不够,因为直流电通入定子上的电枢以后,产生的不变磁场还是不能使电动机转动起来。为了达到使电动机

直流电动机控制系统设计

X X X X X学院 题目:直流电动机控制系统 学 院 XXXXXX学院 专 业 自动化 班 级 XX班 姓 名 XXX 学 号 XXXXX 指导老师 XXX 2012年 12 月 25 日 1、 设计题目:直流电动机控制系统 1、前言 近年来,随着科技的进步,电力电子技术得到了迅速的发展,直流电机得到了越来越广泛的应用。直流它具有优良的调速特性,调速平滑、方便,调速范围广;过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;需要能满足生产过程自动化系统各种不同的特殊运行要求,从而对直流电机的调速提出了较高的要求,改变电枢回路电阻调速,改变电枢电压调速等技术已远远不能满足要求,这时通过PWM方式控制直流电机调速的方法应运而生。 采用传统的调速系统主要有以下缺陷:模拟电路容易随时间漂移,会产生一些不必要的热损耗,以及对噪声敏感等。而在用了PWM技术后,避免了以上的缺陷,实现了用数字方式来控制模拟信号,可以大幅度降低成本和功耗。另外,由于PWM 调速系统的开关频率较高,仅靠电枢电感的滤波作用就可获得平稳的直流电流,低速特性好;同样,由于开

关频率高,快速响应特性好,动态抗干扰能力强,可以获得很宽的频带;开关器件只工作在开关状态,主电路损耗小,装置效率高。PWM 具有很强的抗噪性,且有节约空间、比较经济等特点。 2、系统设计原理 脉宽调制技术是利用数字输出对模拟电路进行控制的一种有效技术,尤其是在对电机的转速控制方面,可大大节省能量,PWM控制技术的理论基础为:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同,使输出端得到一系列幅值相等而宽度不相等的脉冲,用这些脉冲来代替正弦波或其他所需 要的波形。按一定的规则对各脉冲的宽度进行调制,既可改变逆变电路输出电压的大小,也可改变输出频率。 直流电动机的转速n和其他参量的关系可表示为 (1) 式中 Ua——电枢供电电压(V); Ia ——电枢电流(A); Ф——励磁磁通(Wb); Ra——电枢回路总电阻(Ω); CE——电势系数, ,p为电磁对数,a为电枢并联支路数,N为导体数。 由式(1)可以看出,式中Ua、Ra、Ф三个参量都可以成为变量,只要改变其中一个参量,就可以改变电动机的转速,所以直流电动机有三种基本调速方法:(1)改变电枢回路总电阻Ra;;(2)改变电枢供电电压Ua;(3)改变励磁磁通Ф。 3、方案选择及论证 3.1、方案选择 3.1.1、改变电枢回路电阻调速 可以通过改变电枢回路电阻来调速,此时转速特性公式为 n=U-【I(R+Rw)】/KeФ (2)式中Rw为电枢回路中的外接电阻(Ω)。 当负载一定时,随着串入的外接电阻Rw的增大,电枢回路总电阻R= (Ra+Rw)增大,电动机转速就降低。Rw的改变可用接触器或主令开关切换来实现。 这种调速方法为有级调速,转速变化率大,轻载下很难得到低速,

无刷直流电机控制系统的设计——毕业设计

无刷直流电机控制系统的设计——毕业设计

学号:1008421057 本科毕业论文(设计) (2014届) 直流无刷电机控制系统的设计 院系电子信息工程学院 专业电子信息工程 姓名胡杰 指导教师陆俊峰陈兵兵 高工助教 2014年4月

摘要 无刷直流电机的基础是有刷直流电机,无刷直流电机是在其基础上发展起来的。现在无刷直流电机在各种传动应用中虽然还不是主导地位,但是无刷直流电机已经受到了很大的关注。 自上世纪以来,人们的生活水平在不断地提高,人们在办公、工业、生产、电器等领域设备中越来越趋于小型化、智能化、高效率化,而作为所有领域的执行设备电机也在不断地发展,人们对电机的要求也在不断地改变。现阶段的电机的要求是高效率、高速度、高精度等,由此无刷直流电机的应用也在随着人们的要求的转变而不断地迅速的增长。 本系统的设计主要是通过一个控制系统来驱动无刷直流电机,主要以DSPIC30F2010芯片作为主控芯片,通过控制电路采集电机反馈的霍尔信号和比较电平然后通过编程的方式来控制直流无刷电机的速度和启动停止。 关键词:控制系统;DSPIC30F2010芯片;无刷直流电机

Abstract Brushless dc motor is the basis of brushless dc motor, brushless dc motor is developed on the basis of its. Now in all kinds of brushless dc motor drive applications while it is not the dominant position, but the brushless dc motor has been a great deal of attention. Since the last century, constantly improve the people's standard of living, people in the office, industrial, manufacturing, electrical appliances and other fields increasingly tend to be miniaturization, intelligence, high efficiency, and as all equipment in the field of motor is in constant development, people on the requirements of the motor is in constant change. At this stage of the requirements of the motor is high efficiency, high speed, high precision and so on, so is the application of brushless dc motor as the change of people's requirements and continuously rapid growth. The design of this system mainly through a control system to drive the brushless dc motor, mainly dspic30f2010 chips as the main control chip, through collecting motor feedback control circuit of hall signal and compare and then programmatically to control the speed of brushless motor and started to stop. Keywords: Control system; dspic30f2010 chip; brushless DC motor

相关主题