搜档网
当前位置:搜档网 › VGA图像显示控制器设计

VGA图像显示控制器设计

VGA图像显示控制器设计
VGA图像显示控制器设计

信息与通信工程学院

数字电路综合实验报告

课题名称:VGA图像显示控制器设计

班级:2008211113

姓名:

学号:

序号:

日期: 2011年1月

摘要

本实验报告为VGA显示控制器设计报告。

实验设计了基于VHDL描述的VGA显示控制器,通过FPGA控制CRT显示器显示色彩和图形。完成的功能包括64种纯色的显示、横向和纵向彩色条幅的显示以及正方形色块的运动与控制。实验的重心放在了显示控制器的系统层面的设计,采用了自顶向下的思路进行设计,系统的核心为有限状态机。报告中给出了完整的设计思路和过程,并将系统分模块进行了详细的设计,给出了VHDL语言描述。完成了状态机和核心模块以及系统整体的仿真验证。最终下载到实验板上测试通过。

关键词:FPGA;VHDL;VGA显示控制;状态机

第一部分任务要求 (1)

1.1课题要求 (1)

1.2设计目标 (1)

第二部分系统设计 (2)

2.1设计思路 (2)

2.2系统结构设计 (3)

2.2.1系统结构框图 (3)

2.2.2系统逻辑功能划分 (3)

2.2.3层次模块划分 (4)

2.2.4模块设计图 (6)

2.2.5控制器设计 (7)

2.2.6状态机设计 (8)

2.2.7基本模式设计 (9)

2.2.8用户模式设计 (10)

2.3系统硬件语言描述 (12)

2.3.1VHDL描述思路 (12)

2.3.2总体电路的描述 (12)

2.3.3分块电路的描述 (16)

2.4系统仿真验证 (28)

第三部分结果与分析 (32)

3.1实现功能说明 (32)

3.2器件资源分析 (33)

3.3VHDL设计优化 (35)

3.4故障和问题分析 (37)

第四部分总结与结论 (38)

4.1实验结论 (38)

4.2总结体会 (38)

第五部分附录部分 (40)

5.1元器件和仪表清单 (40)

5.2VHDL源代码 (40)

5.3电路图 (56)

5.4参考文献 (63)

第一部分任务要求

1.1课题要求

设计一个 VGA 图像显示控制器。

1.显示模式为 640×480×60Hz 模式;

2.用拨码开关控制 R、G、B(每个2 位),使显示器可以显示64 种纯色;

3.在显示器上显示横向彩条信号(至少 6 种颜色);

4.在显示器上显示纵向彩条信号(至少 8 种颜色);

5.在显示器上显示自行设定的图形、图像等。

1.2设计目标

根据课题要求,实验中将目标进行了细化,叙述如下:

1.设定4种显示模式:横彩模式、纵彩模式、纯色模式、用户模式;

2.拨码开关最低两位SW1~SW0控制4种模式的切换;

3.拨码开关高6位SW7~SW2每两位分别控制RGB显示64种纯色;

4.横向和纵向彩条均设置为8种内置的颜色;

5.用户模式中分3种子模式:

1)子模式1是沿固定轨迹运动模式,固定图形沿水平方向运动,行运动到边界

后折回到下一行继续水平运动;

2)子模式2是反弹球模式,固定图形在矩形屏幕做内直线运动,到边界后沿反

射角方向反弹,周而复始;

3)子模式3是受控运动模式,使用上下左右4个按键控制固定图形在屏幕内的

运动方向;

4)子模式间的切换由一个单独的按键进行控制,按键按下后顺序切换子模式。

第二部分系统设计

2.1设计思路

设计采用自顶向下(Top-down)的方法。

第一步:系统功能分析和描述

VGA显示控制器实现的主要功能是向CRT显示器发出图形控制信号,产生相应的图形显示。由此,容易得到系统结构框图,有关系统功能分析和输入输出约束,详述于2.2.1小节中。

第二步:系统层次模块划分

由系统功能可以将系统从逻辑上划分为输入子系统、模式检测子系统和VGA控制子系统,详述于2.2.2小节中。

从系统逻辑结构出发,将系统设计为3层。顶层用于描述整个系统的功能和运行;第二层承接顶层,负责实现系统中各个独立而完整的功能部分;第三层为第二层的子模块,用于扩展第二层的功能,具体负责用户模式的实现。每个层次可用一个或多个具体模块加以实现,层次模块划分详述于2.2.3和2.2.4小节中。

控制器部分是系统的核心部分,负责协调和控制各子模块的工作。控制器设计采用有限状态机的方法,详述于2.2.5小节中。

第三步:硬件语言描述

在前述工作的基础上,采用VHDL语言对VGA显示控制器的三个层次依次进行描述,具体描述出每个模块,生成具体电路视图。详述与2.3小节中。

第四步:仿真验证

经过前三步之后,系统设计基本完成,需要对系统主要功能进行仿真验证,更进一步分析状态机、电路逻辑和时序配合等是否正确。详述于2.4小节中。

2.2系统结构设计

2.2.1系统结构框图

图2.1 VGA显示控制器系统结构框图

VGA显示控制器的输入是用户控制信息,如显示模式的切换命令、图形移动的控制命令等。控制器根据用户的输入信息,决定工作模式,这里定义为横彩模式、纵彩模式、纯色模式、用户模式。用户模式中,还应根据用户的输入控制图形的运动方向。颜色信息的产生、显示器扫描同步信号等由VGA控制器产生,其输出为CRT显示器。

2.2.2系统逻辑功能划分

图2.2 VGA显示控制器系统逻辑框图

如图2.2所示,系统可逻辑地划分为三个子系统:一是输入子系统,包括输入检测和输入寄存两个功能;二是模式检测子系统,根据用户输入决定工作模式,将模式信息传递给VGA控制器;三是VGA控制子系统,该子系统中可进一步划分出为多个模块,负责依据模式码产生对应模式的同步信号、颜色和图形信号等,从VGA接口输出到CRT显示器进行显示。

2.2.3层次模块划分

系统划分为三层,自顶向下分别是顶层模块、控制器子模块、用户模式底层模块。顶层描述了整个系统的功能和运行;控制器子模块实现系统中各个独立而完整的功能部分;第三层为第二层的子模块,用于扩展第二层的功能,具体负责用户模式的实现。每个层次可用一个或多个具体模块加以实现。

VGAController

VGAMode

Clock

PixelCNT

VGAColor

UserMode

图2.3 VGA显示控制器层次模块划分框图

然后从逻辑上划分出各层次的具体模块,分述如下。模块设计图见2.2.4小节。

A.顶层模块:

作用为集成子模块功能,控制子模块的连接和耦合信号。定义实体为VGAController,在其中例化子模块。

B.中层模块:

具体实现顶层模块的描述,包括的功能主要是产生时钟信号,状态机的定义和状态的转移,产生像素位置信号,并根据不同的状态和像素位置产生RGB颜色信号。由此,可定义如下模块:

a)VGAMode

定义顶层状态机,四种状态分别为横彩模式、纵彩模式、纯色模式、用户模式,分别定义为S0、S1、S2、S3。根据拨码开关SW1和SW0 的四种组合选择各模式,完成状态的转移。根据当前状态选择VGAColor模块送入的多个颜色图像信号,发送给VGA接口RGB端口。

输入:拨码开关SW1、SW0的值。

输出:送往VGA接口的RGB颜色信号。

b)Clock

作分频,由50MHz的板级输入得到系统所需的25MHz、100Hz和1Hz时钟信号。

输入:50MHz晶振信号。

输出:25MHz、100Hz、1Hz时钟信号。

c)PixelCNT

产生像素位置信号。为VGAColor模块产生颜色和图像作定位参考。

输入:25MHz时钟信号。

输出:行和列像素位置信号。

d)VGASig

根据行列像素位置输出VGA接口标准中定义的行列同步负脉冲信号和行列消隐信号,两种信号输入到VGA接口中可控制CRT显示器的扫描。

输入:行和列像素位置信号。

输出:VGA扫描同步信号和消隐信号。

e)VGAColor

产生所有状态的颜色和图像信号,输出到VGAMode模块进行选择后送VGA接口。为实现S3用户模式下复杂的用户图像输出,定义了子模块UserMode,专门负责用户自定义颜色和图像的产生。

输入:行和列像素位置信号,用户颜色控制信号SW2~SW7。

输出:S0~S3状态的颜色图像信号。

以上模块分别由各个Component具体实现,由一个Package进行封装。

C.底层模块:

考虑到用户模式S3功能较多,仍需采用状态机设计,故将其独立出来,作为第三层的模块加以封装和实现,实体定义为UserMode。完成设计目标中用户模式下的3种子模式。子模式的状态分别定义US0、US1、US2。

输入:行和列像素位置信号,用户控制信号。

输出:用户模式下的颜色图像信号。

2.2.4模块设计图

图2.4 VGA显示控制器模块接口图

图2.4给出了详细的模块图,这些模块属于第二层和第三层。某些模块没有特别给出时钟输入,在具体分块电路硬件描述时再行具体引入,详述于2.3.3小节中。模块输入输出信号的耦合是在顶层模块中进行描述的,顶层模块VGAController在数字系统中属于控制器模块,其设计是数字系统设计的核心,故单独叙述于2.2.5小节中,而其VHDL描述详述于2.3.2小节中。

2.2.5控制器设计

在本实验的具体设计中,控制器的作用和与子系统的耦合关系可由图2.5示出。

图2.5 控制器与各模块以及输入输出关系图

受图片大小的制约,无法在图2.5中给出控制器和子系统中信号传递的具体表述。现文字加以叙述如下。

子系统中包括二层和三层设计中的六个模块,各模块间存在信号的传递,其中时钟信号由Clock产生,驱动其他各个模块,像素位置信号由PixelCNT产生,输出到VGAColor、VGASig以及UserMode模块,作为产生颜色图像信号和扫描同步消隐信号的依据,VGAMode模块决定当前的模式状态,输出当前需要显示的颜色图像信号。

子系统和控制器的耦合关系为,一方面,VGAController获取输入信号,传递给子系统,其中输入控制信号sw0和sw1传递给VGAMode用以控制状态的转移,sw2~

sw7传递给VGAColor用以控制产生纯色,bt0~bt4传递给UserMode用以控制用户自定义图形图像。另一方面,VGAMode将当前颜色图像信号、VGASig将行场同步和消隐信号输出到VGAController,控制器再输出到VGA接口,以控制CRT显示器。当然,以上信号的传递是基于逻辑和系统设计的角度加以分析的,顶层设计实际是逻辑概念,并非有实实在在的电路,实际生成电路时信号是直接连线的传递的。

控制器的描述在顶层完成。表现为定义顶层实体和原件的例化以及信号的传递。其具体VHDL描述参见2.3.2小节。

2.2.6状态机设计

如2.2.3节中所述,系统模式设定为纯色模式、横彩模式、纵彩模式、用户模式,分别定义为S0、S1、S2、S3四种状态。由于使用拨码开关的组合来确定模式,故状态间的转换条件是拨码开关状态改变,转移方向是其它三种状态中的任意一种,可将拨码开关状态不变理解为向自身状态的转移。

状态转移图如图2.6所示。图中没有再标注转移条件。具体硬件语言描述时也只是定义状态为枚举类型,由编译器决定如何对状态编码和优化,事实上,从QuartusII编译综合的结果看,One-Hot的编码方式。具体编码参见3.3节关于状态编码的截图。

图2.6 顶层状态机状态转移图

基本模式有三个,以S0、S1、S2三个状态描述,具体实现是在VGAColor模块中。

其中S0是横彩模式,S1是纵彩模式,每种模式均有8种彩色条幅,其实现思路完全一致,差别仅仅在于S0根据列像素信息分割,而S1根据行像素信息分割。图2.7给出了横彩模式的实现流程图。纵彩模式可类似实现。硬件描述时采用条件判断语句生成带有优先级的选择电路。

图2.7 横彩模式流程图

S2是纯色模式,由拨码开关SW2~SW7分别代表RGB三基色,每个基色2个比特,只要在VGAColor中读取出这6个比特组合成为颜色码输出到VGA接口即可。因而是容易实现的。

这里单独把用户模式提出来,是因为基本的三个颜色显示模式S0、S1、S2的设计思路都简单而清晰,而用户模式是本实验拓展部分,实验中设计了三种子模式US0、US1、US2(参见1.2节中的设计目标),三种模式都是动态图像的显示,实现过程相对复杂。实验中设计了专门的子模块UserMode加以实现。

子模式也采用状态机的方式进行设计。状态转移图如图2.8所示。转移条件均是子模式切换按键按下。

图2.8 用户模式状态转移图

UserMode模块的输入行列像素位置信号hpos和vpos、按键控制信号bt0~bt4,首先根据hpos和vpos画出静态的图形,由于绘制圆形色块耗费的器件资源实验板无法提供,这里选择了画正方形色块。定义一个二维位置坐标变量(hmov,vmov)为方块中心,画图时以(hpos,vpos)、(hmov,vmov)联立不等式可确定屏幕内一块区域的坐标点,在这一区域输出某种颜色值,其余区域输出黑色即可显示一个静态的色块。当需要色块运动时,只要改变二维变量(hmov,vmov)值即可实现横向和纵向的运动。因而动态图形的设计,关键在于在US0、US1、US2状态下以不同的方式设法控制(hmov,vmov)的运动方向和速度。

子模式切换时采用了控制按键bt4,需要进行按键防抖动设计。

子模式US0是沿固定轨迹运动,即先行方向运动,到右边界后折返从下一行继续行运动。只要判断hmov在小于640像素区域内,就不断增大hmov就能实现横向移动,当移动到右边界,即到达640像素,则将vmov增加,使得竖向移动,hmov同时赋值为0即可使色块折回左边界。

子模式US1是反弹球运动。此种运动的关键点在于到达左右边界时使得hmov反向,到达上下边界时使得vmov反向。抓住这一核心点,可以使得设计大为简化,否则要反映反弹运动完整过程需要定义多种状态,初步估计16种状态可以完整描述。采用简化的方案,设置两个标志位borderlr和borderud分别表示左右边界和上下边界,可认为设定边界为’1’时向hmov和vmov减小方向运动,反之则增大方向运动,当到达四个边界中任意边界时(容易根据hmov和vmov值判断),对应的borderlr或者borderud区反,这样就使得色块反弹了。

子模式US2是受控运动。实现思路也是很明确的,即判断按键是上下左右中的哪个,然后做相应的运动,使hmov和vmov对应改变即可。四个方向看作四种状态,依旧采类似顶层状态机的方式实现。当无键按下或多个键同时按下时,视为第五种状态,hmov和vmov保持不变,色块不运动。

值得说明的是,hmov和vmov在实际硬件语言描述时,要利用选择器根据子模式US0、US1、US2的不同进行选择,对信号赋值,以解决多重驱动问题,同时实现状态机的设计。

2.3系统硬件语言描述

2.3.1VHDL描述思路

第二部分“系统设计”中对系统的功能和逻辑结构、状态机和状态转移以及模块划分都有了详细的叙述,模块间的耦合和信号的传递关系已经清晰。可以使用VHDL 语言描述硬件电路了。

硬件描述时首先对顶层模块VGAController进行描述。根据2.2.3小节中的设计思路,在Package包VGAPackage中定义各模块的接口定义,然后在顶层实体中进行例化,定义好信号的传递关系。这样,整个系统框架就搭建好了,描述也已完整,只要分别去实现各个模块的接口定义就可以了。

由于进行了细致的模块划分,模块的间的耦合程度降到了很低,这就使得电路设计的可靠性大为增强,只要模块的输出满足了功能定义,整个系统就能正常工作,即便个别模块出现问题,也不会过多影响到其他模块。实际测试过程中也印证了这一点。VHDL代码编译综合后下载到实验板上,工作正常,只是UserMode模块的反弹球模式开始时出现了无法反弹的问题,系统的其他功能完整。只是修改了该子模式就完全实现了系统设计目标。

2.3.2总体电路的描述

根据系统设计要求,首先定义系统顶层实体,描述输入输出端口。描述如下。

entity vgacontroller is

--VGAControllertop-levelentity definition

port

(clk50m : in std_logic;

sw0,sw1,sw2,sw3,sw4,sw5,sw6,sw7: in std_logic;

bt0,bt1,bt2,bt3,bt4: in std_logic;

hs,vs,r0,r1,g0,g1,b0,b1: out std_logic);

end vgacontroller;

依据描述思路,定义各模块接口如下。

package vgapackage is

-------------------------------------------------------------------- component clock --System clock generator

port

(clk50m: in std_logic;

clk1hz,clk100hz,clk25m: out std_logic);

end component;

-------------------------------------------------------------------- component pixelcnt --Pixel counter

port

(clk25m: in std_logic;

hcntout,vcntout : out std_logic_vector(9 downto 0));

end component;

----------------------------------------------------------------- component vgasmode --Define vag mode implement

port

(clk25m,clk1hz: in std_logic;

sw: in std_logic_vector(1 downto 0);

hen,ven: in std_logic;

colors0,colors1,colors2,colors3:

in std_logic_vector(5 downto 0);

colors: out std_logic_vector(5 downto 0));

end component;

-------------------------------------------------------------------- component vgasig --Define vag signal interface as a module port

(clk25m: in std_logic;

hcnt,vcnt: in std_logic_vector(9 downto 0);

hsync,vsync,henable,venable : out std_logic);

end component;

----------------------------------------------------------------- component vgacolor --Generate colors for each mode

port

(clk25m,clk100hz,clk1hz: in std_logic;

hpos,vpos: in std_logic_vector(9 downto 0);

button: in std_logic_vector(4 downto 0);

sw : in std_logic_vector(5 downto 0);

colors0,colors1,colors2,colors3:

outstd_logic_vector(5 downto 0)); end component;

-------------------------------------------------------------------- component usermode --Sub mode for user

port

(clk25m,clk100hz,clk1hz: in std_logic;

hpos,vpos: in std_logic_vector(9 downto 0);

button : in std_logic_vector(4 downto 0);

usercolors: out std_logic_vector(5 downto 0)); end component;

-------------------------------------------------------------------- end vgapackage;

然后在VGAController中进行例化,VHDL描述如下(仅给出关键部分的描述)。begin

-------------------------------------------------------------------- sw<= (sw7,sw6,sw5,sw4,sw3,sw2,sw1,sw0);

bt<= (bt4,bt3,bt2,bt1,bt0);

(r0,r1,g0,g1,b0,b1) <= colors;

--------------------------------------------------------------------clock_port_map:

clock port map(clk50m => clk50m, clk25m => clk25m,

clk100hz => clk100hz, clk1hz => clk1hz);

--------------------------------------------------------------------pixelcnt_port_map:

pixelcnt port map(clk25m => clk25m, hcntout => hcnt,

vcntout => vcnt);

--------------------------------------------------------------------vgasig_port_map:

vgasig port map(clk25m => clk25m, hcnt => hcnt,

vcnt => vcnt,hsync => hs, vsync => vs,

henable => hen, venable => ven);

--------------------------------------------------------------------vgaemode_port_map:

vgasmode port map(clk25m => clk25m, clk1hz => clk1hz,

hen => hen ,ven => ven,

colors0 => colors0,colors1 => colors1,

colors2 => colors2,colors3 => colors3,

colors => colors,sw => sw(1 downto 0));

-------------------------------------------------------------------vgacolor_port_map:

vgacolor port map(clk25m => clk25m, clk100hz => clk100hz,

clk1hz => clk1hz, hpos => hcnt, vpos => vcnt,

sw => sw(7 downto 2),button => bt,

colors0 => colors0,colors1 => colors1,

colors2 => colors2,colors3 => colors3);

-------------------------------------------------------------------- end arch;

图2.9是QuartusII生成的总体电路图,定义的第二层5个模块均对应生成了器件,由于UserMode模块是在VGAColor模块里进行的例化,故系统综合时将其归并在了VGAColor模块内。QuartusII生成的电路图和2.2.4节中模块设计图一致,信号连接图与2.2.5节中叙述一致。设计是正确的。

图2.9QuartusII生成的总体电路图

2.3.3分块电路的描述

本节给出分块电路的硬件描述,对关键部分进行了分析。由于代码长度较长,只给出部分代码,完整的代码请参见5.2节附录。各模块的RTL图参考5.3附录。

1、VGAMode模块的描述

定义状态机的描述如下。

type states is (s0,s1,s2,s3);

signal state:states:=s0;

该模块主要描述状态机的转移,转移条件是SW1和SW2的组合值,描述如下。process(clk1hz) --Detecting sw1 and sw0 and decide the state begin

if(rising_edge(clk1hz)) then

case sw is

when "00" => state <= s0;

when "01" => state <= s1;

when "10" => state <= s2;

when "11" => state <= s3;

end case;

end if;

end process;

VGAMode模块负责根据当前状态选择输出VGAColor送入的不同模式下的颜色图像信号,输出到VGA接口。

process(clk25m) --Select color of one mode to VAG interface begin

if(rising_edge(clk25m)) then

case state is

when s0 => colorstmp <= colors0;

when s1 => colorstmp <= colors1;

when s2 => colorstmp <= colors2;

when s3 => colorstmp <= colors3;

end case;

end if;

end process;

LED线阵显示屏设计报告

陇东学院第二届电子设计大赛 LED线性显示屏设计 院系班级:信息工程学院 12级通信工程本科班参赛组: 指导老师: 2014年6月22日

摘要 LED旋转显示器时基于视觉暂留原理,开发的一种旋转式LED 显示屏。本设计基于AT89C52单片机,通过直流电机带动旋转,在具有一定转速地载体上安装16个LED发光器件,各LED发光管等间距排位一条直线,随着电机的运转,不断扫描出预设的文字。 针对传统LED显示屏视角单一、体积较大、需要的发光二极管数目较多等特点,利用电机带动LED显示阵列高速旋转实现环形显示,达到360全视角,在相同显示信息量上降低产品体积,减少对发光二极管的使用,设计一个可以经常方便变换内容、能够携带方便、信息容量大、价格低廉、结构简单的信息播放系统,即旋转LED显示屏。 实际制作的LED旋转显示屏技术参数的测试结果,以及实际的运行效果表明,本文设计和制作的旋转显示屏基本符合设计的基本要求,具有一定的创新性与实际应用价值。 设计关键字:AT89C52单片机,视觉暂留,直流电机,旋转。

目录 1.任务要求 (4) 1.1基本要求 (5) 1.2 发挥部分 (5) 1.3 说明 (5) 2.总体方案设计 (5) 2.1 系统分析 (5) 2.2方案论证 (5) 2.3方案设计 (6) 2.4 系统总体方框图 (6) 3.电路与程序设计 (7) 3.1电路外观设计 (7) 3.2硬件设计 (7) 3.3软件设计 (8) 4.系统测试 (8) 4.1测试方案 (8) 4.2调试方案 (9)

4.3测试结果 (9) 4.4测试结果分析 (9) 5.系统硬件框图 (9) 6.谢辞 (10) 8.参考文献 (11) 附录 (11) 9.1 效果图 (11) 9.2源程序 (15)

基于单片机的电子时钟设计报告(LCD显示)

单片机原理及应用课程设计任务书 题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 单片机原理及应用课程设计任务书

题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 基于AT89C51单片机的电子时钟设计报告

一、设计要求与目的 1)设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间。 2)、使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。3)、用3个功能键操作来设置当前时间。 4)、熟悉掌握proteus编成软件以及keil软件的使用 二、本设计原理 本设计以AT89C51单片机为核心,通过时钟程序的编写,并在LCD显示器上显示出来。该编程的核心在于定时器中断及循环往复判断是否有按键操作,并对每个按键的操作在LCD显示器上作出相应的反应。由于LCD显示器每八位对应一个字符,故把秒、分、时的个位和十位分开表示。 该课题中有三个控制开关KM1、KM2、KM3分别控制时、分、秒的调整,时间按递增的方式调整,每点一次按钮则相应的时间个位加以,且时间调整不干扰其他为调整时间的显示。 三、硬件设计原理(电路) 硬件电路原理图

vga图像显示控制

VGA图像显示控制器 一、摘要和关键词 摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。 关键词:行列扫描行列同步RGB三原色控制 二、设计任务要求 实验目的 1. 熟练掌握VHDL 语言和QuartusII 软件的使用; 2. 理解状态机的工作原理和设计方法; 3. 掌握利用EDA 工具进行自顶向下的电子系统设计方法; 4. 熟悉VGA 接口协议规范。 实验要求: 设计一个VGA 图像显示控制器,达到如下功能: 显示模式为640×480×60HZ 模式; 用拨码开关控制R、G、B(每个2 位),使显示器可以显示64种纯色; 在显示器上显示横向彩条信号(至少6 种颜色); 在显示器上显示纵向彩条信号(至少8 种颜色); 在显示器上显示自行设定的图形、图像等。 选做:自拟其它功能。 三、实验原理 1、显示控制原理 常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示

基于AT89C51的液晶显示频率计的设计课程设计报告

本科学生单片机课程设计报告题目基于单片机的频率计设计 毕业设计(论文)原创性声明和使用授权说明

原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

视频显示系统功能及产品介绍

1、会议与演出扩声系统 1.1 美国Peavey(百威)产品工程案例 美国百威公司(Peavey Electronics)创立于1965年,美国PEA VEY是世界最大的乐器和专业音响制造商,它的产品系列化、成套化、专业化,是我国改革开放初期,最早进入我国、最能代表当今美国科技水平的专业音响产品。在世界各地以及中国都占有近60%的专业音响市场,曾在法国、德国、丹麦、荷兰、英国以及美国获得200多项相关电子的设计、制造、专利性大奖。如今,百威公司是美国国家电子工业对外出口60个成员之一,属欧盟成员以及世界贸易组织(WTO)出口商成员之一。 百威品牌有着40年的辉煌历史,深受世界顶级音乐演奏家Nickelback、Slipknot、Kenny Chesney及3 Doors Down等的青睐。百威并且和传奇吉他演奏家Edward VanHalen、Joe Satriani成功设计出世界最顶级签名系列产品。1999年,Peavey Electronics成功收购了业界知名工厂Crest Audio,让Peavey产品技术优势和生产能力大大提高。 百威高科技产品一直为我国各个领域各条战线服务,高品质产品、完善的服务,受到广大用户的青睐。百威专业音响是全系列化产品, 其中包括调音台、均衡器、混响器、延时器、功率放大器、音箱、话筒、连线等整个音响锁链的各个环节,各款系列一应俱全。美国本土的密西西比州(MISSISSIPPI)的30多个百威工厂在技术和品质方面提供了最可行的保证,令用户充满信心,这是百威成功的重要原因之一。 1.2 百威主要成就包括: l 世界驰名品牌Peavey,MediaMatrix,Architectural Acoustics,PVDJ及Crest Audio 2 世界各国颁发的200种乐器设计及音响科技专利 3 提供超过2000款产品 4 畅销于世界上130多个国家 5 每年推出80至100种新产品 6 世界著名音乐演奏家的赞赏 百威的音响和音频通信系统用户遍布世界各地,在各个不同领域发挥着科技先导的作用,美国的白宫、国会、参议院、纽约的证券交易所、美国国家大剧院、

LCD-VGA 嵌入式微型显示控制系统软件设计说明书

编号: 版本: LCD-VGA微型显示驱动电路 软件详细设计说明书 编写: 2015年05月18日 校对: 2015年06月12日 审核: 2015年06月15日 批准: 2015年06月20日 目录 一、项目背景 (2) 二、软件功能介绍 (2) 三、软件特性介绍 (2) 四、软件的运行环境介绍 (2) 五、系统的物理结构 (2) 六、系统总结构 (3) 七、系统各个模块介绍 (5) 七、算法设计 ............................................................ 错误!未定义书签。 八、接口设计 ............................................................ 错误!未定义书签。 九、需求规定 (10) 十、测试计划 (10)

一、项目背景 随着便携式多媒体终端需求量迅速增加,在视频解码等方面对芯片低功耗的要求也越来越高。因此,只有将模拟视频信号转换成为符合ITU-R BT、656标准的数字信号,才可方便地利用FPGA或者DSP甚至PC机来进行信号处理。本模块就就是利用TI公司的超低功耗视频解码芯片TVP5150对视频信号A/D解码,由单片机通过I2C总线控制,实现驱动VGA级别(640X480)的微型显示模组,并预留地址数据等接口,作为模块验证以及后续数字信号处理之用。 二、软件功能介绍 本系统主要由视频转换模块TVP5150、按键模块、8051内核单片机与液晶图形缩放引擎(A912)组成,系统框图6、1所示。STC单片机通过I2C接口控制其余三部分模块的工作,视频解码IC把复合视频转换成标准8位的ITU-RBI、656格式的数字信号传输到A912,A912通过解码矩阵电路把解调后的信号转换成三基色RGB信号,最后通过增益/偏移控制、伽马校正、抖动处理与图形缩放变RGB信号输出到液晶屏、 三、软件特性介绍 以STC单片机 MCU为控制中心,以视频转换芯片TVP5150为硬件核心。电路将模拟视频信号编码为ITU-R BT.656类型的数据流。单片机管理整个工作流程,缩放引擎芯片进行图像处理,把数据流转换为RGB信号,最终在液晶屏上获得显示图像。该显示器结构轻薄,电路简单,性能可靠,图像显示清晰稳定。 四、软件的运行环境介绍 软件应在以下环境中运行: 硬件环境:选用256字节 RAM+1K AUX-RAM、4KB ROM、S0P 型号为STC11F04E 单片机 计算机软件:采用C语言进行编译并生成相应执行文件格式,在STC11F04E 单片机上运行。 五、系统的物理结构 微型显示嵌入式软件中的硬件就是由主控、显示驱动模块、按键输入模块、视频解码器模块TVP5150组成,其物理结构图如下图所示

LCD显示设计

《单片机原理及应用》 课程设计报告 题目: LCD显示设计 院 (系):机电与自动化学院 专业班级:电气工程及其自动化1204 学生姓名: 学号: 指导教师: 2015年6月 23日至2015年 7 月 3日 华中科技大学武昌分校制

《单片机及控制系统》课程设计任务书

目录 1.课程设计目的 (1) 2. 课程设计题目及要求 (2) 2.1 课程设计题目 (2) 2.2 课程设计要求 (2) 3. 课程设计主要内容 (3) 3.1 LCD显示原理 (3) 3.2 LCD显示电路 (3) 3.3L C D引脚说明 (4) 3.4 SED1520的基本原理 (4) 3.5 SED1520的13条指令 (6) 3.6 LCD与单片机的连接 (6) 3.7 汉字字模的获取 (7) 3.8 课程设计程序流程 (8) 3.8.1显示子程序 (8) 3.8.2 初始化程序 (8) 3.8.3 显示程序 (9) 3.8.4 清屏子程序 (9) 3.9 课程设计具体程序 (10) 3.9.1翻屏 (10) 4.课程设计总结 (16) 参考文献 (17)

1.课程设计目的 随着科技的高速发展,液晶显示设备越来越多,各种各样的液晶显示产品走进我们生活中。为了进一步巩固学习的理论知识,增强我们对所学知识的实际应用能力和运用所知识解决实际问题的能力,开始为期两周的单片机课程设计。单片机课程设计的目的是培养我们综合设计的能力,训练我们灵活运用所学知识,独立完成问题分析、总体设计和编程实现等软件开发过程的综合实践能力,巩固深化学生的理论知识,提高编程水平,并在此过程中培养我们严谨的科学态度和良好的学习作风。为今后其他计算机课程打下基础。按照教学计划的要求,利用二周时间,综合应用所学知识,设计具有一定功能的LCD显示,培养我们一定的自学能力和独立分析问题、解决问题的能力,要求我们能通过独立思考、查阅工具书、参考文献,提出自己的设计方案。

电子显示屏开题报告

通信工程学院 毕业设计开题报告 题目:大型显示屏设计 专业:自动化 学号: 学生姓名: 指导教师姓名: 指导老师职称:博士 日期:2009年1月26日

一、毕业设计题目背景和目的 1.1课题研究的背景 LED电子显示屏作为一个城市、一个地区的商业发达程度的标志之一,已广泛地出现于车站、码头、大型商场等公共场所,是一个理想的新闻、广告和信息媒体。其中大型的LED文字屏由于功能比较专一、工作稳定可靠等诸多优点。本论文设计的是通用型LED显示屏,该屏可适用于室内外,其工作温度为-40℃――85℃。该屏显示能力灵活多变,显示模式多样,显示单元为单色点阵LED模块。 LED就是light emitting diode ,发光二极管的英文缩写,简称LED。LED 的内在特征决定了它是最理想的光源去代替传统的光源,它有着广泛的用途。它是一种通过控制半导体发光二极管的显示方式,其大概的样子就是由很多个通常是红色的小灯组成,靠灯的亮灭来显示字符。用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。 LED显示屏分为图文显示屏和视频显示屏,均由LED矩阵块组成。图文显示屏可与计算机同步显示汉字、英文文本和图形;视频显示屏采用微型计算机进行控制,图文、图像并茂,以实时、同步、清晰的信息传播方式播放各种信息,还可显示二维、三维动画、录像、电视、VCD节目以及现场实况。LED显示屏显示画面色彩鲜艳,立体感强,静如油画,动如电影,广泛应用于金融、税务、工商、邮电、体育、广告、厂矿企业、交通运输、教育系统、车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。 LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。 纵观LED的发展历史,其之所以具有巨大的市场吸引力,根本在于:LED 的内在特征决定了它是最理想的光源去代替传统的光源,它有着广泛的用途和无可比拟的优点。

视频显示系统工程技术要求规范

视频显示系统工程技术规Code for technical of video display system engineering

目次 总贝[| ...................................... ( 1 ) 术语.......................................... (2 ) 2.1术语 (2) 2.2缩略语 ........................................ (6 ) 视频显示系统工程的分类和分级..................... (7 ) 3.1LED视频显示系统的分类和分级................... (7 ) 3.2投影型视频显示系统的分类和分级.................... (8 ) 3.3电视型视频显示系统的分类和分级.................... (9 ) 视频显示系统工程设计 (10) 4.1一般规定 (10) 4.2视频显示屏系统设计 (11) 4.3传输系统设计 (14) 4.4控制系统设计 (17) 4.5辅助系统设计 (18)

视频显示系统工程施工 (21) 5.1施工准备 (21) 5.2施工 (22) 5.3系统调试 (25) 视频显示系统试运行 (30) 视频显示系统工程验收 (31) 7.1 —般规定 (31) 7.2初步验收 (31) 7.3工程竣工验收条件与验收组织 (32) 7.4工程竣工验收 (33) 附录A工程施工质量控制记录 (35) 附录B工程检测记录 (42) 附录C工程验收记录.................. * ........... (44) 本规用词说明 (5) 附:条文说明 (47)

脉冲按键电话按键显示器设计报告要点

课程设计成果说明书 题目:脉冲按键电话按键显示器学生姓名: 学号: 学院: 班级:指导教师: 2011年 6 月 12 日

课程设计任务书 2010 —2011 学年第2 学期 学院机电工程学院班级 A09电气(1)、(2)专业电气 学生姓名(学号) 课 程 名 称 数字电子技术课程设计 设计 题目 脉冲按键电话按键显示器 完成 期限 自 2010年 6 月 30 日至 2010 年 7 月 6 日共 1 周 设计依据 电话是人们日常生活中通话的工具,现设计具有12位显示的电话按键显示器。

设计要求及主要内容 1、能准确反映按键数字,例如按下“5”,则显示器显示5。 2、显示器显示从地位向高位移位,逐位显示按键数字,最低位为当前输入位。 3、重按键时,能首先清除显示; 4、在挂机2min后或按熄灭按键,熄灭显示器显示。 参考资料 1、彭介华主编《电子技术课程设计指导》第一版北京:高等教育出版社,1997。 2、郁汉琪编《数字电子技术实验及课题设计》。北京:高等教育出版社,1995。 指导 教 师签字 日期 摘要:本次课程设计的目的是为了巩固我们对数字电子技术课程所学过的内容,能够运用课程中所掌握的数字电路的分析和设计方法解决实际问题,培养分析问题,解决问题的能力。我们在设计此课题中要求设计一个具有12位显示的电话按键显示器。能准确显示按键数字,并且数字依次从右向左移动显示,最低位为当前输入位。同时设置清除键,能使显示器立即清除当前的显示。最后要设计一个震荡器,使其产生1HZ的脉冲,来做定时电路。在挂机2min后或者按熄灭按键,能达到熄灭显示器显示的功能。在这个设计中,我们用到了编码器,移位寄存器,译码显示器等芯片及元器件。对于它们的工作特性,会有进一步的理解。

实验五vga图显示控制

实验五 VGA图像显示控制 一实验目的 1.进一步掌握VGA显示控制的设计原理。 2.了解图像的存储和读取方法。 3.进一步掌握4×4键盘或PS/2键盘接口电路设计方法。 4.掌握状态机设计复杂控制电路的基本方法。 二硬件需求 1.EDA/SOPC实验箱一台。 三实验原理 VGA图像显示控制利用实验三中学习的《VGA显示控制模块》显示存储于ROM中的图像数据。 要显示的图像是四块64*64像素大小的彩色图片,通过键盘控制可以选择不同的图片显示。图像可以在屏幕上移动(水平和垂直方向每帧分别移动“H_Step”点和“V_Step”点),通过键盘改变“H_Step”和“V_Step”的值即可改变其移动速度和方向。图像碰到屏幕边沿会反弹回去。如图5.1所示 H_Step V_Step 图5.1 VGA图像显示控制示意图 实验中要用到4×4键盘、VGA显示控制、ROM等模块,在《实验三常用模块电路的设计》中已经讲述,可以直接使用已做好的模块(可能需要修改部分代码)。键盘也可以使用PS/2接口键盘,这样可以输入更多的字符。 四实验内容 1、图5.2是整个设计的顶层电路。

图5.2 VGA图像显示控制顶层电路图 2、实验三中学习的几个模块 ①“Read_Keyboard”模块与实验三中的4×4键盘模块一致; ②四个ROM模块使用宏功能模块实现,并设置其内存初始化文件分别为“FBB.mif”、“dog.mif”、“cat.mif”、“flower.mif”,如图5.3所示。当然也可以用其他图片(大小为64*64)使用“BmpToMif”软件生成对应的“mif”文件,如图5.4所示。

LCD液晶显示器设计毕业论文毕业论文

东莞理工学院本科毕业设计 毕业设计题目:LCD电子显示屏的控制和界面设计学生: 学号: 院系:电子工程学院 专业班级: 指导老师及职称: 起止时间:2010年4月——2010年5月

LCD液晶显示器设计毕业论文毕业论文 目录 一、摘要- - - - - - - - - - - - - - - - - - - - - - - - - - ------------------3 二、作品意义- - - - - - - - - - - - - - - - - - - - - - - - -----------------3 三、硬件设计- - - - -- - - - - - - - - - - - - - - - - - - - ----------------4 四、软件设计 - - - - - - - - - - - - - - - - - - - - - - - - ----------------5 五、设计调试 - - - - - - - - - - - - - - - - - - - - - - - ----------------8 六、指令说明- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- - - - - - ---9 七、心得体会 - - - - - - - - - - - - - - - - - - - - - - - - ---------------12 八、致谢- - - - - - - - - - - - - - - - - - - - - - - - - - ------------------13 九、参考文献- - - - - - - - - - - - - - - - - - - - - - - - ----------------13 十、源程序与原理图 - - - - - - - - - - - - - - - - - - -- -

LED点阵显示屏设计报告

西安邮电大学 开发性实验结题报告 学院:电子工程学院 班级:光信1201 姓名:袁云飞学号:05123010 班级:光信1201 姓名:赵晓伟学号:05123019 班级:光信1201 姓名:陶鹏江学号:05123018 237团队 2014年3月30日

16 32点阵LED电子显示屏 摘要: 本设计是一16×32点阵LED电子显示屏的设计。 整机以美国ATMEL 公司生产的40脚单片机AT89C52为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制两个行驱动器74HC573和四个列驱动器74HC573来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏采用8块8×8点阵LED显示模块来组成16×32点阵显示模式。文中详细介绍了LED点阵显示的硬件设计思路、硬件电路各个部分的功能及原理、相应软件的程序设计,以及使用说明等。 单片机控制系统程序采用单片机C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点得到广泛的应用。 关键词:AT89C51单片机;LED;点阵显示;动态显示;C语言。 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,

视频显示系统工程技术规范

v1.0可编辑可修改 4 视频显示系统工程技术规范 Code for technical of video display engin eeri ng system

目次 视频显示系统工程技术规范 (1) 术语 (5) 5视频显示系统工程施工 施工准备 (28) 附录B工程检测记录 B (49) 附录C工程验收记录 (44)

本规范用词说明 46 1总则 (52) 2术语 术语 (52)

1. 为规范视频显示系统工程的设计、施工和验收,保证工程质量,促 进技术进步,获得良好的社会效益、经济效益和环境效益,制定本规范。 1.0. 2本规范适用于视频显示系统工程的设计、施工及验收。 1.0. 3视频显示系统工程的设计、施工及验收,应遵循国家有关法律、法规和政策,密切结合自然条件,合理利用资源,兼顾使用和维修,做到技术先进、经济合理、安全适用。 1. 0. 4 视频显示系统工程中应选用技术先进、经济适用的定型和经检 测合格的产品。 1.0. S视频显示系统工程的设计、施工及验收除应执行本规范夕卜,尚

应符合国家现行有关标准的规定。

2 术语 术语 2.1.1 视频显示系统video display system 由视频显示屏系统、传输系统、控制系统和辅助系统组成,可实现一路或多路视频信号苘时、部分或全屏显示。 2.1.2 视频显示屏单元video display screen unit 在视频显示屏系统中可独立完成画面显示功能的基本单位,一般为矩形〈〈 2.1.3 视频拼接显示屏(墙)video display screen together (wall) 由显示屏单元物理拼接而成,是图像显示区域的总称。显示屏单元间依靠适当的电气连接(包括信号传输路径),由控制系统进行控制,可单独显示视频画面,或显示画面的某一部分,还可与系统中的其他单元配合组成完整的画面。? 2.1.4 传输系统transmission system 在视频显示系统中,将需显示的信号传输至各显示屏单元的信号传输部分》

VGA显示控制

基于FPGA 的VGA显示控制 摘要 VGA(Video Graphics Array)即视频图形阵列,是IBM公司1987年推出的一种传输标准,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛应用。 本次课程设计是基于FPGA和主芯片为 EP4CE30F23C8N的ALTER公司的开发板Cyclone IV来实现的。数字图像信息在VGA接口显示器正确、完整地显示,涉及到时序的构建和数字图像信息的模拟化两方面,提出一种能够广泛应用的VGA显示接口方案,详细阐述了数字图像数据DA转化并输出到VGA接口显示器显示的方法,其中包括接口的硬件设计、视频DA转换器的使用方法、通过FPGA构造VGA时序信号的方法等等。方案可以应用于各种仪器,数字视频系统、高分辨率的彩色图片图像处理、视频信号再现等。 课设主要用到的芯片是ADV7123,它是一款高速、高精度数模转换芯片。拥有三路十位D/A转换器,能够将代表颜色的数据锁存到数据寄存器中,然后通过D/A 转换器转换成模拟信号输出,得到我们要的色彩。

VGA显示的硬件设计和原理 1.1 FPGA主芯片 课程设计所用开发板的主芯片是EP4CE30F23C8N——Cyclone IV,其由Altera公司开发,值得注意的是该开发板所支持的QUARTUS II的版本较高,并且11.0的版本较12.0的版本编译好的程序更好下载。 图-1 1.2 ADV7123 实现VGA的控制显示主要用到的芯片就是ADV7123,ADV7123由完全独立的三个I0位高速D/A转换器组成,RGB(红绿蓝)视频数据分别从R9~R0、G9~G0、B9~B0输入,在时钟CLOCK的上升沿锁存到数据寄存器中,然后经告诉D/A转换器转换成模拟信号。三个独立的视频D/A转换器都是电流型输出,可以接成差分输出,也可以接成单端输出。DE2-115上按单端输出,在模拟输出端用75欧姆电阻接地,以满足工业标准。低电平有效的BLANK信号为复合消隐信号,当BLANK为低电平时,模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略。BLANK和SYNC都是在CLOCK 的上升沿被锁存的。 图-2是ADV7123的功能原理图:

LED电子显示屏的设计报告(完整)

“TI杯”电子设计大赛 报告 A题:LED电子显示屏的设计 队员:赖波 2802107013 队员:漆志龙 2802107021 队员:朱维各 2802107012 2010-5-27

LED 电子显示屏的设计 电子工程学院2008级 赖波 漆志龙 朱维各 【摘要】本系统中的LED 电子显示屏采用基于A T89S52的单片机最小系统来控制,运用串行通信方式输出数据,具有占有引脚少,系统相对简单的特点;通过简单的编程修改显示屏可以显示不同的、相当多的信息,且在必要的情况下可以扩展更大的外部存储器;显示屏采用PCB 制作,更加容易扩展,更具有实用性。另外,此LED 电子显示屏的电源采用了开关电源模块,具有电压稳点,效率高的优点。该20*16电子显示屏各点亮度均匀、充足,满足了显示数字和文字稳定、清晰、无串扰的要求。 关键词: 单片机控制模块 开关电源 效率 5*8点阵模块 1.系统设计 1.1整体方案设计 LED 电子显示屏框图 1.2硬件方案论证与比较 1.2.1电子显示屏模块 1. 采用6块市场上常见的8*8点阵模快组成24*16的大点阵,在编程的时候只利用其中的20列,余下的4列空置。此种设计从细处来看不符合项目的原意,且点阵模块没有充分的利用,浪费了空间和资源。 2. 采用320个LED 焊接成20*16的模块,以满足题目的要求。但是此种方法耗时且浪费资源,LED 需要较大的驱动来满足亮度要求。这样焊接的显示屏也不容易达到亮度均匀、充足,显示文字清晰的基本要求。 3. 采用6块运用相对较少的的5*8点阵模块拼接成20*16的模块。这种设计组合正好可以契合题目要求,而且容易实现电子显示屏各点亮度均匀、充足,显示数字和文字稳定、清晰、无串扰的要求。由于引脚数量也不是很多,更容易操作。综合考虑,本系统采用此方案。 不论采用哪种方案,由于涉及到较多的焊接工作,究竟采用多层万能板焊接,还是使用会具有众多跳线的单层万能板,亦或是使用PCB 板来实现也是不得不面对的问题。考虑到 列驱动器 行 驱 动 器 LED 显示点阵 单片机 电源

智慧城市基础平台视频监控及大屏显示系统建设方案

智慧城市基础平台视频监控及大屏显示系统建设方案 “智慧城市”视频监控系统,是一个的数字化、网络化、智能化视频监控系统,利用运营商的宽带网络和ADSL网络,将分散、独立的图像采集点进行联网,实现跨地域、全范围内的统一监控、统一存储、统一管理、资源共享,是监督与指挥中心了解全市城市市政、环卫、治安状况的重要窗口。能及时准确地掌握所监视路口、路段周围的情况等,为城市管理决策者提供一种全新、直观的管理工具,提高工作效率。 本项目的视频监控系统采用将对XX重点地段实时监控,选择合理方案,调用公安、交通等监控资源,实现监控资源的整合。 XX智慧城市视频监控系统示意图

大屏显示系统建设 在XX智慧城市指挥中心设立了大屏显示系统,便于智慧城市指挥中心和有关领导更加清楚地了解城市的状况和相关信息。 通过大屏幕可直观地掌握城区各个社区或万米单元的城市部件信息、问题处理信息、案卷信息、评价信息等全局情况,了解每个社区、监督员、部件等个体的情况,实现对城市管理全局情况的总体把握。同时,系统还整合“全球眼”、公安和特定区域的视频监控资源,支持和采用视频监控技术,对重点部位进行监控。 系统主要功能: 1)具备案卷信息和地图信息一体化管理功能,并满足大 屏幕管理显示要求; 2)能够实时定位问题和监督员的地理位置; 3)能够实时显示监督员的在岗情况及位置信息; 4)能够实时分类显示问题的当前状态信息; 5)具有查询监督员详细信息功能; 6)具有查询问题的图片、办理过程等详细信息功能; 7)实现地图与属性信息的互动查询; 8)实现图文一体化的综合评价结果大屏幕展示功能; 9)能够显示视频控制系统指定视频终端信息。 本方案中,市级办公场所采用DLP投影显示墙,区级办

数电实验-vga图像显示控制

数字电路综合实验 报告 学校:北京邮电大学 学院:信息与通信工程学院 专业:通信工程 班级:2008211115 学号:08210460 班内序号:30 姓名:唐秋月 2011年1月5日

VGA图像显示控制器 一、摘要和关键词 摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。 关键词:行列扫描行列同步RGB三原色控制 二、设计任务要求 实验目的 1. 熟练掌握VHDL 语言和QuartusII 软件的使用; 2. 理解状态机的工作原理和设计方法; 3. 掌握利用EDA 工具进行自顶向下的电子系统设计方法; 4. 熟悉VGA 接口协议规范。 实验要求: 设计一个VGA 图像显示控制器,达到如下功能: 显示模式为640×480×60HZ 模式; 用拨码开关控制R、G、B(每个2 位),使显示器可以显示64种纯色; 在显示器上显示横向彩条信号(至少6 种颜色); 在显示器上显示纵向彩条信号(至少8 种颜色); 在显示器上显示自行设定的图形、图像等。 选做:自拟其它功能。 三、实验原理 1、显示控制原理 常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示

基于FPGA的VGA图像显示与控制

基于FPGA的VGA图像显示与控制 课程要求:采用verilog语言,基于FPGA的VGA图像显示,即能够在显示器上实现动态彩色图像的显示。 VGA显示接口的理论分析:硬件采用CycloneII系列的EP2C20Q240C8N,它含有240个引脚。对于VGA的显示器遵循800*600@75模式,其中800是指每行中显示的像素的个数,而600是指屏幕每一列所包含的像素的个数。 VGA工业标准规定了具体地,在扫描过程中的时序图如下: 行扫描时序图 场扫描时序图 每场信号对应625个行周期,其中600行为图像显示行,每场有场同步信号,该脉冲宽度为3个行周期的负脉冲;每行显示行包括1056个点时钟,其中800点为有效显示区,每行有一个行同步信号的负脉冲,该脉冲宽度为80个点时钟。这样我们可以知道,行频为625*75=46857Hz。需要的点时钟的频率为:625*1056*75=49.5MHz约为50MHz。由上图可知,实际上在真正的实现过程中,每一行扫描所花的时间实际上比显示一行的像素所花的时间多了1056-800=256个像素点。同理,每一场的扫描时间多了625-600=25个行时间。 设计思路: 我们采用BmpToMif工具把BMP格式的图像转换为.mif文件。利用QuartusII7.2自带的MegaWizard Plug-In Manager产生一个ROM存储器,并用其来初始时.mif 文件。即将图像文件写入到存储器里面。然后利用编程来控制图像的显示。 设计步骤: 1、工程创建:创建一个Project取名字为vga,在创建工程的向导中选着CycloneII 系列的EP2C20Q240C8。 2、代码书写:新建一个Verilog HDL File编写程序代码如下: `timescale 1ns/1ps module tupian (clk,rst_n,hsync,vsync,vga_r,vga_g,vga_b,addr); input clk,rst_n;

视频显示系统工程技术规范

视频显示系统工程技术规范 视频显示系统工程技术规范Code for technical of video display system engineering

目次 总贝[| ...................................... ( 1 ) 术语.......................................... (2 ) 2.1术语 (2) 2、2缩略语 ....................................... (6 ) 视频显示系统工程的分类与分级..................... (7 ) 3.1LED视频显示系统的分类与分级................... (7 ) 3、2投影型视频显示系统的分类与分级................... (8 ) 3、3电视型视频显示系统的分类与分级................... (9 ) 视频显示系统工程设计 (10) 4.1一般规定 (10) 4、2视频显示屏系统设计 (11) 4、3传输系统设计 (14) 4、4控制系统设计 (17) 4、5辅助系统设计 (18) 视频显示系统工程施工 (21) 5.1施工准备 (21) 5.2施工 (22) 5.3系统调试 (25) 视频显示系统试运行 (30) 视频显示系统工程验收 (31) 7、1 —般规定 (31) 7、2初步验收 (31) 7、3工程竣工验收条件与验收组织 (32) 7.4工程竣工验收 (33)

附录A工程施工质量控制记录 (35) 附录B工程检测记录 (42) 附录C工程验收记录.................. * ........... (44) 本规范用词说明 (5) 附:条文说明 (47)

旋转LED显示屏设计毕业论文

旋转LED显示屏设计毕业论文第二章旋转LED显示屏显示原理 LED显示器具有功耗低,接口控制方便等优点,而且模块的接口信号和操作指令具有广泛的兼容性,并能直接与单片机接口,可方便地实现各种不同的操作,在各类测量及控制仪表中被广泛的应用。当在LED上显示汉字时,应先取得汉字的点阵构成数据,然后将其写入显示存储器中进行显示。 物体在快速运动时, 当人眼所看到的影像消失后,人眼仍能继续保留其影像0.1-0.4秒左右的图像,这种现象被称为视觉暂留现象。是人眼具有的一种性质。人眼观看物体时,成像于视网膜上,并由视神经输入人脑,感觉到物体的像。但当物体移去时,视神经对物体的印象不会立即消失,而要延续0.1 -0.4秒的时间,人眼的这种性质被称为“眼睛的视觉暂留”。假设我们设定我们的眼睛的暂留时间是0.4秒,如果我们的16个LED旋转一周的时间快过0.4秒,那么我们看到的图像就是这一列LED在各个位置显示的图像的叠加,如图2-1,如果我们用定时器把LED旋转一周的各个位置分割出180分,让它在相应的位置显示相应的图像,那么我们就可以得到一个累加的图像效果了。 图2-1图列分析

第三章旋转LED显示屏系统硬件简介 3.1系统硬件框图 系统各部分和各环节之间关系的图3-1示如下: 图3-1 系统硬件框图 单片机 STC12C5A6 0S2 直流电机 5V 电源 12V 电源 LED显示

3.2 旋转LED显示屏主机系统 3.2.1 主机系统连接如图3-2所示 图3-2主控机管脚图 本次设计主机系统分为单片机、LED灯、电磁耦合供电电路,都焊接在主控板上,其次是在底座上的电动机,和电磁初级线圈。 3.2.2旋转LED显示屏主机芯片简介 本次毕业设计采用的STC12C5A60S2单片机,以下是对其的介绍: STC12C5A60S2简介: STC12C5A60S2是STC生产的单时钟/机器周期(1T)的单片机,是高速、低功耗、超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换,针对电机控制,强干扰场合。

相关主题