搜档网
当前位置:搜档网 › 数电实验vga图像显示控制

数电实验vga图像显示控制

数电实验vga图像显示控制
数电实验vga图像显示控制

数字电路综合实验

报告

学校:北京邮电大学

学院:信息与通信工程学院

专业:通信工程

班级:2008211115

学号:

班内序号:30

姓名:唐秋月

2011年1月5日

VGA图像显示控制器

一、摘要和关键词

摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。

关键词:行列扫描行列同步RGB三原色控制

二、设计任务要求

实验目的

1. 熟练掌握VHDL 语言和QuartusII 软件的使用;

2. 理解状态机的工作原理和设计方法;

3. 掌握利用EDA 工具进行自顶向下的电子系统设计方法;

4. 熟悉VGA 接口协议规范。

实验要求:

设计一个VGA 图像显示控制器,达到如下功能:

显示模式为640×480×60HZ 模式;

用拨码开关控制R、G、B(每个2 位),使显示器可以显示64种纯色;

在显示器上显示横向彩条信号(至少6 种颜色);

在显示器上显示纵向彩条信号(至少8 种颜色);

在显示器上显示自行设定的图形、图像等。

选做:自拟其它功能。

三、实验原理

1、显示控制原理

常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示

2、VGA时序信号

计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、

B 三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT 显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。设计VGA 控制器的关键是产生符合VGA 接口协议规定的行同步和场同步信号,它们的时序关系如下图所示:

h_sync:水平同步信号(负脉冲),每个水平扫描周期显示器刷新一行;

v_sync:垂直同步信号(负脉冲),每个垂直扫描周期显示器刷新一帧;

行同步信号(HS ) 场同步信号(VS ) 时序名称 时钟数(像素数)

时序名称 行数 前沿 16 前沿 10 行同步 96 场同步 2 数据 640 数据 480 后沿 48 后沿 33 总像素数

800

总行数

525

按照每秒60帧的刷新速度来计算,所需要的时钟频率为: 频率=60H z(帧数)×525(行)×800(每一行像素数)=25.2MHz 所以我们通过开发系统的50MHz 时钟资源,通过时钟分频产生25MHz 的频率即可。虽然没有达到精确的25.2MHz 的时钟频率(刷新率可能会是59Hz),但是并不会造成影响。

3、VGA 显示器的工作过程

以屏幕左上角的那个像素作为原点(1,1)。当显示器接收到控制器输出的v_sync 信号,则开始一个新的垂直刷新循环,同时控制器输出h_sync 信号。当经过P+Q=1.084ms 的时间后,准备开始水平刷新循环,当h_sync 信号的下降沿到来时,即开始刷新第一行(行数加1)。再经过B+C = 5.66s 的时间后,开始刷新第一行的第一个像素(列数加1),并按照所需的时钟频率,刷新此行中其余像素。直到显示器接收到下一个h_sync 信号,又开始刷新第二行。

重复此过程,直到刷新到屏幕的底部。当刷新了最下面一行的最后一个像素后,显示器即完成了一帧的刷新,控制器又输出v_sync 信号,显示器又开始一个新的垂直刷新循环。

四、系统设计(包括设计思路、总体框图、分块设计)

总体设计思路:

VGA 显示器的控制器可划分为3个子模块: I .时钟分频子模块;

II .时序控制子模块 ,提供同步信号(h_sync 和v_sync )及像素位置信息; III .生成图形子模块,接收像素位置信息,并输出颜色信息;

由于系统时钟为50MHZ ,实验所需频率为25MHZ,故时钟分频模块只需在程序中通过分频语句完成;生成图形子模块由系统提供;所以重点设计的模块就是时序控制模块。

总体系统框图如下:

HS

系统时

VS 钟 R G

B

分频模块 时 序 控 制 模 块 生 成 图 形 模 块 VGA 显

拨码输入

时序控制模块设计

行同步状态机状态转移图

列同步状态机状态转移图时序控制模块流程图:

五、源程序(含注释)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity vgacode is

port(

sw0 : in std_logic; --拨码开关输入

sw1 : in std_logic;

sw2 : in std_logic;

sw3 : in std_logic;

sw4 : in std_logic;

sw5 : in std_logic;

sw6 : in std_logic;

sw7 : in std_logic;

clk : in std_logic; --系统时钟输入

hsync : out std_logic;--输出行同步、列同步以及R.G.B信号

vsync : out std_logic;

rdata : out std_logic;

gdata : out std_logic;

bdata : out std_logic;

lrdata : out std_logic;

lgdata : out std_logic;

lbdata : out std_logic

);

end vgacode;

architecture behave of vgacode is

-- horizontal timing signals

constant h_data: integer:=640; --VGA时序中几个关键数据

constant h_front: integer:=16;

constant h_back: integer:=48;

constant h_sync: integer:=96;

constant h_period: integer:= h_sync + h_data + h_front + h_back; --800

-- vertical timing signals

constant v_data: integer:=480;

constant v_front: integer:=10;

constant v_back: integer:=33;

constant v_sync: integer:=2;

constant v_period: integer:= v_sync + v_data + v_front + v_back; --525

signal henable, venable : std_logic;

signal clk25M : std_logic;

signal hcnt: std_logic_vector(9 downto 0); -- horizontal pixel counter signal vcnt: std_logic_vector(9 downto 0); -- vertical line counter begin

process(clk)

begin

if clk'event and clk = '1' then --由系统时钟分频得到25MHZ的频率信号

clk25M <= not clk25M;

end if;

end process;

process(clk25M) ---行扫描

begin

if (clk25M'event and clk25M = '1') then

if hcnt < h_period then

hcnt <= hcnt + 1;

else

hcnt <= (others => '0');

end if;

end if;

end process;

process(clk25M) --行同步

begin

if (clk25M'event and clk25M = '1') then

if (hcnt >= (h_data + h_front)

and hcnt < (h_data + h_sync + h_front)) then

hsync <= '0';

else

hsync <= '1';

end if;

end if;

end process;

process(clk25M) --列扫描

begin

if (clk25M'event and clk25M = '1') then

if hcnt = (h_data + h_sync + h_front) then

if vcnt < v_period then

vcnt <= vcnt + 1;

else

vcnt <= (others => '0');

end if;

end if;

end if;

end process;

process(clk25M) --列同步

begin

if (clk25M'event and clk25M = '1') then

if (vcnt >= (v_data + v_front)

and vcnt < (v_data + v_sync + v_front)) then

vsync <= '0';

else

vsync <= '1';

end if;

end if;

end process;

process(clk25M) --行显示

begin

if (clk25M'event and clk25M = '1') then

if hcnt < h_data then

henable <= '1';

else

henable <= '0';

end if;

end if;

end process;

process(clk25M) --列显示

begin

if (clk25M'event and clk25M = '1') then

if vcnt < v_data then

venable <= '1';

else

venable <= '0';

end if;

end if;

end process;

process(clk25M,henable,venable) --几种显示模式

begin

if (clk25M'event and clk25M = '1') then

if(henable='1' and venable='1') then

if sw0 = '0' and sw1 = '0' then --64 color rdata <= sw7 ;

gdata <= sw5 ;

bdata <= sw3 ;

lrdata <= sw6;

lgdata <= sw4 ;

lbdata <= sw2 ;

else if sw1 = '0' and sw0 = '1' then --竖条纹

rdata <= hcnt(9);

gdata <= hcnt(8);

bdata <= hcnt(7);

lrdata <= hcnt(6);

lgdata <= hcnt(5);

lbdata <= hcnt(4);

else if sw1 = '1' and sw0 = '0' then --横条纹

rdata <= vcnt(9);

gdata <= vcnt(8);

bdata <= vcnt(7);

lrdata <= vcnt(6);

lgdata <= vcnt(5);

lbdata <= vcnt(4);

else if sw1 = '1' and sw0 = '1' then --棋盘显示

if ( henable = '1' and venable = '1' ) then

if ( hcnt(4) = '0' and hcnt(3) = '0' and hcnt(2) ='0'

and hcnt(1) = '0' and hcnt(0) = '0' )

or (vcnt(4) = '0' and vcnt(3) ='0' and vcnt(2) ='0'

and vcnt(1) = '0' and vcnt(0) = '0' ) then

lrdata <= '0';lgdata <= '0';lbdata <= '0';

rdata <= '0';gdata <= '0';bdata <= '0';

else lrdata <= '1';lgdata <= '1' ;lbdata <= '0' ;

rdata <= '1' ;gdata <= '0' ;bdata <= '0' ;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end process;

end behave;

六、实验器材

1.计算机;

2. VGA显示器;

3.直流稳压电源;

4.EDA 开发板及相应元器件

七、功能说明

本实验实现的功能时通过拨码开关控制显示器的图像显示。拨码开关一共有8个,D0~D1控制模式,D2~D3为B信号输入D4~D5为G信号输入D6~D7为R 信号输入。

其中D1D0=00,为纯色模式,通过控制前面6个拨码开关来调整显示器的颜色;

D1D0=01为横条纹显示;

D1D0=10为竖条纹显示;

D1D0=11为棋盘方式显示

后三个方式下条纹的宽度以及颜色都可以通过程序来修改控制。

学习数电心得体会

学习数电心得体会 篇一:学习数字电路之心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下,到底学了哪些东西呢如果不看书的话,真有点记不住学习内容的先后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,

都是一些基础的东西,没有多大的难度,学习起来也相对轻松。 第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发

vga图像显示控制

VGA图像显示控制器 一、摘要和关键词 摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。 关键词:行列扫描行列同步RGB三原色控制 二、设计任务要求 实验目的 1. 熟练掌握VHDL 语言和QuartusII 软件的使用; 2. 理解状态机的工作原理和设计方法; 3. 掌握利用EDA 工具进行自顶向下的电子系统设计方法; 4. 熟悉VGA 接口协议规范。 实验要求: 设计一个VGA 图像显示控制器,达到如下功能: 显示模式为640×480×60HZ 模式; 用拨码开关控制R、G、B(每个2 位),使显示器可以显示64种纯色; 在显示器上显示横向彩条信号(至少6 种颜色); 在显示器上显示纵向彩条信号(至少8 种颜色); 在显示器上显示自行设定的图形、图像等。 选做:自拟其它功能。 三、实验原理 1、显示控制原理 常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示

实验动物总结

第一章绪论 1、实验动物与实验用动物 实验动物:指经人工培育,对其携带的微生物进行控制,遗传背景明确,可用于科学实验、药品、生物制品的生产和检定及其它科学研究的动物。 实验用动物:一切可以用于实验的动物。 区别:遗传控制不同、微生物控制等级不同、培养的形质和目标不同。 2、按遗传学控制标准即基因的纯合成度,实验动物分为:近交系-C3H、突变系-裸鼠、杂交群-F1、封闭群-昆明小鼠 3、实验动物标准化:对动物的质量,繁育条件,实验条件等方面规定的统一技术标准。内容:实验动物遗传学质量控制;实验动物微生物学质量控制;实验动物设施环境标准化;实验动物饲料营养标准化; 4、突变系动物:指正常染色体的基因发生了变异,具有一种或多种遗传缺陷的动物。 5、医药研究中动物选择的原则:(1)选择与人的机能、代谢、结构及疾病特点相似的实验动物;(2)选择遗传背景明确,得到良好微生物控制,具有模型性状显著且稳定的LA;(3)选择解剖、生理特点符合实验目的要求的LA;(4)选择靶器官效应好的LA;(5)选择科研、检定及生产中传统使用的LA;(6)选择具有特殊反应性的实验动物品种(系)。 第二章实验动物质量控制 1.1、相同基因类型动物:指所有个体的遗传背景相同或相近的实验动物。主要指近交系动物,其中包括同源突变近交系、同源导入近交系、重组近交系和杂交一代动物。 1.2、不同基因类型动物:指所有个体的遗传背景具有较大差异的实验动物,主要是指封闭群。 1.3、品种、品系具备的条件:A.相似的外貌特征;B.独特的生物学特性;C.稳定的遗传性能;D.共同的遗传来源和一定的遗传结构。 1.4、近交系:指至少连续20代全同胞兄妹或亲子交配,品系内所有个体都可追溯到起源于第20代或以后代数的一对共同祖先,且近交系数达98.6%以上遗传物质高度纯合和稳定的遗传群体。特征:基因纯合性;同基因性;遗传稳定性;可识别性;表现型一致性;个体性;资料的可查性;国际分布的广泛性。 1.5、近交衰退:指近交使生物个体的生活力、生产性能、群体均值、抗病力、适应环境能力等都减退的现象。原因:(1)有害隐性基因的暴露;(2)多基因控制性状平衡被破坏。控制方法:(1)控制近交系数F;(2)严格淘汰。 1.6、特殊类型的近交系 A.同源突变近交系:指某个近交系的某个指明位点的等位基因发生突变而分离出来的近交系亚系,它与原近交系的区别只是突变位点的基因不同而已 (如:裸鼠) 。 B.同源导入近交系:指通过杂交-互交或回交等方式将一个差异基因导入到某个近交系中,由此形成的一个近交系,该近交系与原来近交系只是一个很小染色体片断上的基因不同。 C.重组近交系:由两个高度无关的近交系杂交产生F2代后,再从F2代中随机选择个体配对,连续进行20代以上全同胞兄妹交配而育成的一个近交系列动物。为重组近交系提供亲代的两个近交系称为祖系。特点:具有其双亲品系的特征;具有重组后一组内和每个重组近交系的特征,并具有新的多态性基因位点;和近交系一样具有极高的纯合性。 D.杂交一代(又称F1代):用2个基因型不同的近交系动物相互交配产生的第一代动物,即为F1代动物。 (杂交F1代动物不是一个品种或品系,因为它不具有育种功能)。特点:具有遗传和表型一致性;基因型一致;与近交系相比具有杂交优势;国际分布广泛;F1代动物品质的好坏完全取决于2个亲代。 1.7、封闭群:在不从外部引入新的血缘条件下,以非近亲交配的方式至少连续繁殖4代以上的群体。特点:A.封闭群动物避免了近亲交配,具有较强的繁殖力和生活力;B.封闭群动物具有类似于人类群体遗传异质性的遗传组成;C.封闭群动物中存在有模型价值的突变基因,通常可导致动物某些方

程序设计心得体会

程序设计心得体会 程序设计感受1 刚学习C#的时候,感觉很难,做的时候出现很多错误而且我是照着书打代码还有错误,那时的感觉是迷茫的。在学习的过程中,我还是坚持下来,虽然学的不是很好,但是慢慢就理解了,现在也不要仿照书打代码。现在讲讲做项目的感想。我们项目做不好也有一个小原因,是因为我们三个项目同时进行,而三个项目不同,在需求分析方面没有弄好,导致后面在做项目时,数据库出现了很多问题,所以我觉得现在我们项目不要做多,而是好好做一个项目,从需求开始就要做好每一步,到后面编程才不会出现特别多的错误。 不得不说:在老师检查完第一次之后,我去看了一下师兄做过的项目,就觉得自己这个项目相差太远了。我觉得我有以下几方面做不好:1.在刚上C#时老师叫我们现在就要做项目但我没有,一直等到老师快要检查时才急急忙忙的做。2.老师上完课,自己做完作业之后,没有好好的复习。其实我觉得三层架构也不难,三层结构就是主要调用了类,而类中定义了方法,归根到底我们还是引用了类中的方法实现它的功能,类就类似于C语言里的函数,因为在数据访问层要用到数据操作类所以要创建一个数据操作类。而我们建三层架构是为了就是倒觉得在表示层里实现一些功能能时要去分析,但是C#语言只学习过浅的知识,数据结构我们也没有学习所以分析起来就有点问题。但是所有问题都是觉得自己太懒,如果自己好好自学数据结构,学习离散数学,也许在分析功能模块会得到提高。所以本人决定寒假做一个像样的项目出来。 无论是学习还是做项目的过程中,耐心都要非常重要。有时出现错误,上网查了,自己又想了很久,又找同学讨论还是不能解决时就会有不想做的念头。因为自己曾经在大一时就是因为连关机都不会关,当时都吓到宿舍友了,觉得还是转专业好了。虽然转专业没有成功,

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

LCD-VGA 嵌入式微型显示控制系统软件设计说明书

编号: 版本: LCD-VGA微型显示驱动电路 软件详细设计说明书 编写: 2015年05月18日 校对: 2015年06月12日 审核: 2015年06月15日 批准: 2015年06月20日 目录 一、项目背景 (2) 二、软件功能介绍 (2) 三、软件特性介绍 (2) 四、软件的运行环境介绍 (2) 五、系统的物理结构 (2) 六、系统总结构 (3) 七、系统各个模块介绍 (5) 七、算法设计 ............................................................ 错误!未定义书签。 八、接口设计 ............................................................ 错误!未定义书签。 九、需求规定 (10) 十、测试计划 (10)

一、项目背景 随着便携式多媒体终端需求量迅速增加,在视频解码等方面对芯片低功耗的要求也越来越高。因此,只有将模拟视频信号转换成为符合ITU-R BT、656标准的数字信号,才可方便地利用FPGA或者DSP甚至PC机来进行信号处理。本模块就就是利用TI公司的超低功耗视频解码芯片TVP5150对视频信号A/D解码,由单片机通过I2C总线控制,实现驱动VGA级别(640X480)的微型显示模组,并预留地址数据等接口,作为模块验证以及后续数字信号处理之用。 二、软件功能介绍 本系统主要由视频转换模块TVP5150、按键模块、8051内核单片机与液晶图形缩放引擎(A912)组成,系统框图6、1所示。STC单片机通过I2C接口控制其余三部分模块的工作,视频解码IC把复合视频转换成标准8位的ITU-RBI、656格式的数字信号传输到A912,A912通过解码矩阵电路把解调后的信号转换成三基色RGB信号,最后通过增益/偏移控制、伽马校正、抖动处理与图形缩放变RGB信号输出到液晶屏、 三、软件特性介绍 以STC单片机 MCU为控制中心,以视频转换芯片TVP5150为硬件核心。电路将模拟视频信号编码为ITU-R BT.656类型的数据流。单片机管理整个工作流程,缩放引擎芯片进行图像处理,把数据流转换为RGB信号,最终在液晶屏上获得显示图像。该显示器结构轻薄,电路简单,性能可靠,图像显示清晰稳定。 四、软件的运行环境介绍 软件应在以下环境中运行: 硬件环境:选用256字节 RAM+1K AUX-RAM、4KB ROM、S0P 型号为STC11F04E 单片机 计算机软件:采用C语言进行编译并生成相应执行文件格式,在STC11F04E 单片机上运行。 五、系统的物理结构 微型显示嵌入式软件中的硬件就是由主控、显示驱动模块、按键输入模块、视频解码器模块TVP5150组成,其物理结构图如下图所示

实验动物学实验报告

实验动物学实验报告 一、实验动物:小鼠 二、操作流程:抓取,固定,编号,给药,取血,麻醉,绝育,解剖。 三、具体操作 1、抓取:抓取小鼠时,右手抓住小鼠尾巴,不要过于用力,以免惊吓小鼠。左手从小鼠身体后部向前抓(以免小鼠向后缩咬伤自己),抓住小鼠颈部。固定住小鼠后,将小鼠皮肤往上抓,尽量将小鼠背部皮肤抓住。左手将小鼠腹部朝向自己,把小鼠尾巴用左手无名指和小指夹住,这时小鼠腹部皮肤紧绷,不能动弹。 2、固定:通常使用固定器进行固定。将固定器拧开后,抓住小鼠尾巴,使其钻入固定器中,再将拧下的固定器部分装好,使小鼠尾部露出,再将可旋转的铁片固定住即可进行后续实验。 3、编号:编号方式有两种:①剪脚趾编号:把小鼠腹面朝上,在下的脚趾从左至右依次编为1~10号,剪10号脚趾加1~9号脚趾依次编为11~19号,在上的脚趾依次编为20,30,40,50,60,70,80,90号,其余编号与11~19号类似。②打耳钉编号:耳钉上均有唯一编号,通过使用耳钉钳将耳钉打在小鼠耳朵上即可。实验时通常使用的是第一种方式进行编号,第二种编号通常用于需要长距离运输的动物。 4、给药:常用的给药方式有: ①口服给药:即灌胃。将注射器装入药物溶液,装上灌胃针(灌胃针有直头和弯头两种,区别不大)。如上所述,抓取小鼠后,使其头部朝上,尽量呈一直线,取灌胃针,从小鼠嘴角一侧缓缓插入(保持刻度在自己能看到的位置),顺着小鼠口腔食道的弧度让小鼠将针咽入,灌胃过程中如果遇到阻碍一定要及时拔出灌胃针,不可强行灌胃以免伤及小鼠食道以及肺部。灌胃针顺利进入后基本与小鼠身体呈一条直线,注入适量体积后再顺着食道缓缓取出灌胃针。 ②静脉注射:小鼠尾部有3条静脉和1条动脉,3条静脉非别位于背部,及两侧。静脉注射时一般选取两侧静脉,因为其相对于背部静脉更为清晰饱满。将小鼠固定后,用酒精擦拭其尾部静脉,使其充血,以便注射。之后使注射器针孔处朝上,针与尾部呈约30°扎入尾部后向上轻挑,再向内扎入部分,此过程应该比较顺畅,没有阻碍,若阻碍较大则有可能扎入到了皮肤中。扎入后将活塞向后回抽一点可见到有血回流,则说明成功扎入静脉当中,注射适当体积后迅速拔针,用酒精进行消毒。 5、取血:有断尾取血法和眼眶取血法两种。本次实验使用的是眼眶取血法。抓取小鼠,固定其头部用手指将其上下眼睑分开,露出其眼球并且不能闭上。用玻璃毛细管从其上眼角处扎入眼球后方毛细血管从,使血液顺着毛细管留下,取血完成后快速将毛细管取下。 6、麻醉:抓取老鼠,使其头部朝下,使其腹部脏器向胸腔靠拢,露出腹部空腔,以免刺伤脏器。将注射器竖直扎入靠近后腿部腹腔,刺入之后稍微向前倾斜但不要向前刺入,一般注入0.5mL麻醉剂即可。随后拔出针,方向小鼠,等待几分钟后即可麻醉。 7、绝育:绝育手术是通过剪除雌鼠卵巢或雄鼠输精管来实现的。将麻醉的雌鼠背面朝上,从其胸腔和尾部之间向下三分之一处剪开一个小口,用镊子将其卵巢取出,上面呈现红色斑点的部分即为卵巢,用剪刀将这一部分剪除,然后用缝合针线将其缝合,缝合方法为将针穿过后,将线缠绕镊子两圈再逆时针缠绕两

程序设计实训心得体会

程序设计实训心得体会 心得体会这种学习方法对于一个人来说也许是优秀的,但没有被推广普及的必要。因为学习的方法因人而异,方法的奏效是它与这个人相适应的结果,以下《程序设计实训心得体会》由心得体会栏目为您精心提供。 在软件实验室经过2个星期Jsp程序设计实训,从对软件开发没有太多经验的我掌握了更多的软件开发的方 法和技能,收获颇多。 经过这次理论学习,了解到要做好软件开发,要求 掌握的知识并不是只有编写代码方面的,网络、数据库、操作系统等的知识对做好项目开发是很有帮助的。这让 我明确了以后学习的目标,在不断学习软件开发的同时,也应该继续其他相关知识的深入学习。 实训期间经历的许许多多,给我们带来了很多很多 有实无形的东西,知识、友情以及团队开发的热情,快 乐无一不包围着我们。在这我很想感谢几个人,王璐老 师和班上一同参与这次实训的2位同学。王璐老师对我 们备至关心,不论在学习前后,都给予我们关怀不少, 并且王璐老师不愧是从事多年软件开发的专业人士,其 深厚的专业技能知识和丰富的经验令我等钦佩无比。对 于我们未出茅庐的大学生而言,遇到的问题多而复杂,

询问有经验的人,他们或许一句话就能解决问题,而自 己就得找资料或上网摸索可能要一两天,有时甚至绞尽 完脑汁都不得其解。用个词形容,老师的解答如醍醐灌顶,而对我们,则甘之如饴。 实训这段期间真的好累,尽管实验室给我们提供了 一个相当不错的上课学习环境,但是整天木木地待在实 验室面对毫无生气的荧屏,为 code而烦恼,为bug而郁闷,被文档所包围,随程序侵蚀。然而,这就是学习的 生活,既选之也则安之。忙碌的生活让我每天似乎没有 太多的时间去想一些其他的事,耐心的去调试,专注的 去编写更好的算法,沉浸充实和快乐之中。 更重要的是,两个星期的实训使我们明白我们所欠 缺的不仅仅是技术知识,更重要的是有一种处理事情的 方法、面对问题的心态和动手能力。面对完全陌生的新 知识、新技术、新项目以及整个IT行业,我们不能畏惧,要以一种积极的心态去面对,分析并抓住关键所在。因 为我们所即将应对的每一个项目都是既需要实际操作, 又需要详细规划的。作为组长,协调组员、激励其他组 员和积极参与项目开发是我每天必做的工作。我认为每 个人都应该在团队中做好自己应尽的职责,再优秀的个 人也可能完成一个即庞大又复杂的项目工作,我们必需 紧密的联合在一起,以一个团队的角色来面对。

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

实验五vga图显示控制

实验五 VGA图像显示控制 一实验目的 1.进一步掌握VGA显示控制的设计原理。 2.了解图像的存储和读取方法。 3.进一步掌握4×4键盘或PS/2键盘接口电路设计方法。 4.掌握状态机设计复杂控制电路的基本方法。 二硬件需求 1.EDA/SOPC实验箱一台。 三实验原理 VGA图像显示控制利用实验三中学习的《VGA显示控制模块》显示存储于ROM中的图像数据。 要显示的图像是四块64*64像素大小的彩色图片,通过键盘控制可以选择不同的图片显示。图像可以在屏幕上移动(水平和垂直方向每帧分别移动“H_Step”点和“V_Step”点),通过键盘改变“H_Step”和“V_Step”的值即可改变其移动速度和方向。图像碰到屏幕边沿会反弹回去。如图5.1所示 H_Step V_Step 图5.1 VGA图像显示控制示意图 实验中要用到4×4键盘、VGA显示控制、ROM等模块,在《实验三常用模块电路的设计》中已经讲述,可以直接使用已做好的模块(可能需要修改部分代码)。键盘也可以使用PS/2接口键盘,这样可以输入更多的字符。 四实验内容 1、图5.2是整个设计的顶层电路。

图5.2 VGA图像显示控制顶层电路图 2、实验三中学习的几个模块 ①“Read_Keyboard”模块与实验三中的4×4键盘模块一致; ②四个ROM模块使用宏功能模块实现,并设置其内存初始化文件分别为“FBB.mif”、“dog.mif”、“cat.mif”、“flower.mif”,如图5.3所示。当然也可以用其他图片(大小为64*64)使用“BmpToMif”软件生成对应的“mif”文件,如图5.4所示。

药理学实验动物的基本操作实验心得

这次是第一次药理学实验,我们学习了很多实验动物的基本操作方法。在做药理学实验之前我们就有做过人体解剖生理学实验,解剖过蟾蜍,小白鼠和家兔,这次的药理学实验更是一次对所学知识的巩固和深化,教给了我们很多在生理学实验中并没有学过的知识点。在刚做实验时,黄老师就向我们介绍了3R原则,即减少,优化,替代。动物是我们人类的朋友,首先我们应该尊重动物。它们用生命来换取人类的健康,推动着医学的进步,人类医学的发展离不开动物实验,动物为我们人类的健康做出了牺牲,我们应遵循“3R”原则。黄老师还通过视频给我们重点介绍了常用麻醉药及用法,实验动物的捉拿、麻醉、固定、给药、取血和处死方法。让我学到了很多实用性很强的东西。 之后便是分组自己做实验了,首先陈老师向我们讲解了小白鼠的标记方法,用中性品红表示十位数,苦味酸表示个位数,加上空白对照,一共可以标记一百支小白鼠。标记顺序为先左后右,从上至下。用苦味酸作为标记物的一个原因是它不容易被分解和弄掉,不会因为小鼠的活动而消失。其次是因为其有苦味,避免了被其他老鼠舔掉。之后讲解了小鼠的性别鉴定方法,除了书上说的方法外还可通过观察小鼠的乳房辨别。关于大鼠和家兔的捉持方法,大鼠在捉持前最好对其进行安抚,避免其急躁而咬人,而家兔则不可用手扯其双耳将其拉起。在给药方法方面,灌胃法要注意从口角插入口腔,用灌胃针抵住舌头,插入不可过深,一般入喉即可。腹腔注射时最好将其倒转,头部朝下,这样不容易刺入内脏。是否插入腹腔的判断方法:推注完后,轻微回抽,若有负压将注射器的推杆拉回,则已入腹腔。皮下注射时是否的入皮下的判断方法上同,皮下无负压,回抽不拉回。尾静脉注射时注意静脉在尾的两侧,不在上下,注射时用手捏住尾巴前段有利于暴露血管。 家兔的灌胃用木质开口器,使用时要想办法将其舌头压在开口器下,因为舌头会阻碍导尿管插入口腔,可以另外使用棉签配合,一边用棉签压住舌头,一边将开口器插入口腔。耳缘静脉注射时要注意选择小号针头,因家兔耳静脉较小,插入时应仔细谨慎。 家兔的麻醉与手术操作由老师演示进行。注射11ml麻醉剂后家兔很快被麻醉,用镊子夹其腿部无反应。气管插管时,在气管处以倒“T”字切开,插入气管插管,气管插管为三通管,除一个用来跟呼吸测定器连接外,另一个用来防止家兔舌头被麻醉后堵住气管不能呼吸从而起到辅助呼吸作用。颈动脉分离时在颈动脉剪三分之一插管,颈动脉较一般血管粗,韧性很好,在剪口前后需各用动脉夹夹住并用细线绑住,插管成功后拔掉近心端动脉夹,远心端不动。血液迅速流向插管。最后是家兔的空气拴塞法处死,用注射器注射一管空气后,家兔逐渐呼吸急

完成Java面向对象程序设计实验课的心得体会

Java面向对象程序设计实验课的心得体会经过这几周对Java面向对象程序设计的学习,让我更加了解到Java学习的重要性。 在实验课上,我们完成多个实验,在这个阶段的学习中,我从认识到熟悉,而后到能够自主运用。通过对Java的了解,我发现它确实有很多方便之处,它集抽象性、封装性、继承性和多态性于一体,实现了代码重用和代码扩充,提高了软件开发的效率。对于我们这个专业来说学好Java语言是很重要的,所以在实验的过程中我都尽力理解java编程思想、掌握基本技巧,尽量学到最多的知识。 学习程序设计的基本目的就是培养描述实际问题的程序化解决方案的关键技能,Java面向对象程序设计是一门实践性比较强的课程,在实际中,我们必须把理论和实践结合起来。在实验中,我们理解理论课上的知识,然后运用到实际的操作中,我们必须在现有的理论的基础上,进行实践。多次实验后,也让我看到了现在学习的一个很大弱点:只听不练,永远不会熟练运用;空记技巧,忽略思想,会在多变的习题中十分无奈。 Java思想:Java是一门面向对向语言,他定义一切都是对象面向对象,就是面对现实; 现实中的一切都是对象,他们有分类,就产生了“类”; 他们有不同,类和类之间的不同,使用类来区分; 同一个类中不同的对象的区别,使用成员区分。

所以,面向对象的内部逻辑是分类。 面向对象编程思想就象数学上的一些概念,如:空间、群、环、域等 原始的编程思想就象古典数学,人们只在一个集合上探讨问题,没有系统的方法(即运算)定义,于是仁者见仁、智者见智,这样在一定程度上造成了理论的一种混乱局面,不利于科学的发展。于是近代数学向公理化发展,这是什么意思呢?就是说,人们除了在限定论域(即上面的集合,面向对象也有此概念)外,还在此论域上加进了一套通用的、公认的运算(方法);集合加上集合上定义的运算就构成了数学上的空间、群等,在计算机领域里,就变成为“类”。这种集合上定义了操作的东西利用起来就方便多了,这使得人们讨论问题时都在给定集合的运算能力上下工夫,即有通用性可事半功倍。 面向对象的编程思想就要想近世代数的分析思想,首先要确定“论域”,即工程所涉及到的所有的“对象”,然后要分类处理,把隶属同一类别的对象集合在一起(做成一个集合),定义他们之间的运算性质及可以进行的操作,这样就构建了一个类,当然以后用到这个类的对象时都要通过已经定义了的操作来完成各项功能,这就是常要求的类的“类的成员变量要通过共有函数来操作”。 我想真正的面向对象编程思想不应该是编程思想,应该是面向对象的分析方法才对! 我的理解: 1、将分析过程为抽象的过程:简言之:分成多个小问题(组成部分),

数字逻辑感想

数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。 首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。 回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。 不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。

学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

VGA显示控制

基于FPGA 的VGA显示控制 摘要 VGA(Video Graphics Array)即视频图形阵列,是IBM公司1987年推出的一种传输标准,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛应用。 本次课程设计是基于FPGA和主芯片为 EP4CE30F23C8N的ALTER公司的开发板Cyclone IV来实现的。数字图像信息在VGA接口显示器正确、完整地显示,涉及到时序的构建和数字图像信息的模拟化两方面,提出一种能够广泛应用的VGA显示接口方案,详细阐述了数字图像数据DA转化并输出到VGA接口显示器显示的方法,其中包括接口的硬件设计、视频DA转换器的使用方法、通过FPGA构造VGA时序信号的方法等等。方案可以应用于各种仪器,数字视频系统、高分辨率的彩色图片图像处理、视频信号再现等。 课设主要用到的芯片是ADV7123,它是一款高速、高精度数模转换芯片。拥有三路十位D/A转换器,能够将代表颜色的数据锁存到数据寄存器中,然后通过D/A 转换器转换成模拟信号输出,得到我们要的色彩。

VGA显示的硬件设计和原理 1.1 FPGA主芯片 课程设计所用开发板的主芯片是EP4CE30F23C8N——Cyclone IV,其由Altera公司开发,值得注意的是该开发板所支持的QUARTUS II的版本较高,并且11.0的版本较12.0的版本编译好的程序更好下载。 图-1 1.2 ADV7123 实现VGA的控制显示主要用到的芯片就是ADV7123,ADV7123由完全独立的三个I0位高速D/A转换器组成,RGB(红绿蓝)视频数据分别从R9~R0、G9~G0、B9~B0输入,在时钟CLOCK的上升沿锁存到数据寄存器中,然后经告诉D/A转换器转换成模拟信号。三个独立的视频D/A转换器都是电流型输出,可以接成差分输出,也可以接成单端输出。DE2-115上按单端输出,在模拟输出端用75欧姆电阻接地,以满足工业标准。低电平有效的BLANK信号为复合消隐信号,当BLANK为低电平时,模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略。BLANK和SYNC都是在CLOCK 的上升沿被锁存的。 图-2是ADV7123的功能原理图:

万能实验报告心得体会 优选5篇.doc

万能实验报告心得体会优选5篇 【导语】 万能实验报告心得体会优选5篇由***整理投稿精心推荐,我希望对你的学习工作能带来参考借鉴作用。 【目录】 篇1:万能实验报告心得体会 篇2:万能实验报告心得体会 篇3:万能实验报告心得体会 篇4:万能实验报告心得体会 篇5:万能实验报告心得体会 【正文】 篇1:万能实验报告心得体会 本次实训,是对我本事的进一步锻炼,也是一种考验。从中获得的诸多收获,也是很可贵的,是十分有意义的。 经过这次实训,我收获了很多,一方面学习到了许多以前没学过的专业知识与知识的应用,另一方面还提高了自我动手做项目的本事。 在实训中我学到了许多新的知识。是一个让我把书本上的理论知识运用于实践中的好机会,原先,学的时候感叹学的资料太难懂,此刻想来,有些其实并不难,关键在于理解。

在这次实训中还锻炼了我其他方面的本事,提高了我的综合素质。首先,它锻炼了我做项目的本事,提高了独立思考问题、自我动手操作的本事,在工作的过程中,复习了以前学习过的知识,并掌握了一些应用知识的技巧等。其次,实训中的项目作业也使我更加有团队精神。 从那里,我学会了下头几点找工作的心态: 一、努力实践,自觉进行主角转化。 仅有将理论付诸于实践才能实现理论自身的价值,也仅有将理论付诸于实践才能使理论得以检验。同样,一个人的价值也是经过实践活动来实现的,也仅有经过实践才能锻炼人的品质,彰显人的意志。必须在实际的工作和生活中潜心体会,并自觉的进行这种主角的转换。 二、继续学习,不断提升理论涵养。 在信息时代,学习是不断地汲取新信息,获得事业提高的动力。作为一名青年学子更应当把学习作为坚持工作进取性的重要途径。走上工作岗位后,我会进取响应单位号召,结合工作实际,不断学习理论、业务知识和社会知识,用先进的理论武装头脑,用精良的业务知识提升本事,以广博的社会知识拓展视野。 三、提高工作进取性和主动性 实习,是开端也是结束。展此刻自我面前的是一片任自我驰骋的沃土,也分明感受到了沉甸甸的职责。在今后的工作和生活中,我将继续学习,深入实践,不断提升自我,努力创造业绩,继续创造更多的价值。 我认为大学生实习难,就业难,除非你有关系,能给你简便找到工作,否则就难逃市场选择的厄运。我在该公司实习总结了五个攻略,只能智勇

编程实训心得体会范文3篇

编程实训心得体会范文3篇 编程技术的学习是一个分阶段不断提高的过程,因此教材的内容应按不同的学习阶段进行合理的分配。下面是OK带来的编程实训心得体会范文,欢迎大家阅读。 经过五天的Java实训,感触很深,收获也很大,对自己的缺点也有了很多的认识,回首本学期JAVA学习,重点还是在学习概念等一些常识性的东西,关于类型、变量、接口、输入输出流、分析异常、抛出异常,后期主要是小程序运用,Gui界面设计和事件。 在我学习的语言中,我自己认为Java是一门比较强大的面向对象的编程语言,不仅仅是因为它的跨平台型还有它的较强的实用性,强悍的嵌入性。 本次实训主要是针对我们对项目流程不熟悉和对整体项目的把握不清楚,学习数据库的设计和表的建设以及表与表之间的联系,还有一些代码的编写,这些都是我们所不熟悉的也是我们最薄弱的部分。 通过这一周的实训,虽然实训的时间不长,但是总体上收获挺大的,当我们正式准备学习实训java编程技术时,让我感到非常高兴,因为java一直学的是课本知识,所以实训对于我来说是必须要

学会熟练操作的。当然开始学习后也并非是想象中那样顺利,开始的学习让我异常感到学习任务的艰巨,因为学习中我遇到了很多以前未曾遇到的难点,有时后也难免会失去耐心,但是,通过老师的指导,自己的努力的练习,我顺利的化解了一道道的障碍。克服了Java学习上的一道道难关,现在自己已经基本掌握了java的基础知识。 有些知识点以前没有学过,但我也没有去研究,实训时突然间觉得自己真的有点无知,虽然现在去看依然可以解决问题,但要浪费许多时间,这一点是我必须在以后的学习中加以改进的地方,同时也要督促自己在学习的过程中不断的完善自我。另外一点,也是在实训中必不可少的部分,就是同学之间的互相帮助。所谓”当局者迷,旁观者清”,有些东西感觉自己做的是时候明明没什么错误,偏偏程序运行时就是有错误,让其他同学帮忙看了一下,发现其实是个很小的错误。所以说,相互帮助是很重要的一点,这在以后的工作或生活中也是很关键的。俗话说:“要想为事业多添一把火,自己就得多添一捆材”。 此次实训,我深深体会到了积累知识的重要性。在实训当中我们遇到了不少难题,但是经过我们大家的讨论和老师细心的一一指导,问题得到了解决。两个月的实训结束了,收获颇丰,同时也更深刻的认识到要做一个合格的程序员并非我以前想像的那么容易,

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数电实验-vga图像显示控制

数字电路综合实验 报告 学校:北京邮电大学 学院:信息与通信工程学院 专业:通信工程 班级:2008211115 学号:08210460 班内序号:30 姓名:唐秋月 2011年1月5日

VGA图像显示控制器 一、摘要和关键词 摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。 关键词:行列扫描行列同步RGB三原色控制 二、设计任务要求 实验目的 1. 熟练掌握VHDL 语言和QuartusII 软件的使用; 2. 理解状态机的工作原理和设计方法; 3. 掌握利用EDA 工具进行自顶向下的电子系统设计方法; 4. 熟悉VGA 接口协议规范。 实验要求: 设计一个VGA 图像显示控制器,达到如下功能: 显示模式为640×480×60HZ 模式; 用拨码开关控制R、G、B(每个2 位),使显示器可以显示64种纯色; 在显示器上显示横向彩条信号(至少6 种颜色); 在显示器上显示纵向彩条信号(至少8 种颜色); 在显示器上显示自行设定的图形、图像等。 选做:自拟其它功能。 三、实验原理 1、显示控制原理 常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示

相关主题