搜档网
当前位置:搜档网 › 实时日历时钟

实时日历时钟

实时日历时钟
实时日历时钟

实时日历时钟硬件设计图

源程序

/***********************************

功能介绍:

有时间功能键,增加键,减少键,日期功能键;

可根据不同键调节百年,年,月,日,时,分,秒;

具有闰年调节,日期错误调整等校正功能;

具有按键自校正功能,防止误按键;

有断电保存功能;

***********************************/

#include//包含52单片机头文件

#define uchar unsigned char

#define uint unsigned int

bit write=0; //写24C02的标志;

sbit sda=P3^1;

sbit scl=P3^0;

void delay0()

{ ;; }

void start() //开始信号

{

sda=1;

delay0();

scl=1;

delay0();

delay0();

}

void stop() //停止

{

sda=0;

delay0();

scl=1;

delay0();

sda=1;

delay0();

}

void respons() //应答

{

uchar i;

scl=1;

delay0();

while((sda==1)&&(i<250))i++;

scl=0;

delay0();

}

void init_24c02()//IIC初始化函数

{

sda=1;

delay0();

scl=1;

delay0();

}

void write_byte(uchar date)//写一个字节函数{

uchar i,temp;

temp=date;

for(i=0;i<8;i++)

{

temp=temp<<1;

scl=0;

delay0();

sda=CY;

delay0();

scl=1;

delay0();

}

scl=0;

delay0();

delay0();

}

uchar read_byte()//读一个字节函数

{

uchar i,k;

scl=0;

delay0();

sda=1;

delay0();

for(i=0;i<8;i++)

{

scl=1;

delay0();

k=(k<<1)|sda;

scl=0;

delay0();

}

return k;

}

void write_add(uchar address,uchar date)//指定地址写一个字节{

start();

write_byte(0xa0);

respons();

write_byte(address);

respons();

write_byte(date);

respons();

stop();

}

char read_add(uchar address)//指定地址读一个字节

{

uchar date;

start();

write_byte(0xa0);

respons();

write_byte(address);

respons();

start();

write_byte(0xa1);

respons();

date=read_byte();

stop();

return date;

}

//sbit dula=P2^6; //定义锁存器锁存端

//sbit wela=P2^7;

sbit rs=P2^0; //定义1602液晶RS端

sbit lcden=P2^2;//定义1602液晶LCDEN端sbit rw=P2^1;

sbit s1=P1^4; //定义按键--功能键

sbit s2=P1^5; //定义按键--增加键

sbit s3=P1^6; //定义按键--减小键

sbit s4=P1^7; //定义按键--riqi功能键sbit rd=P3^7;

sbit beep=P2^3; //定义蜂鸣器端

uchar count,s1num;

uint count1;

char miao,shi,fen;

uchar n,moon,d,t,s4num,m;

uchar code table1[]=" WELCOME TO USE"; uchar code table2[]=" THE SYSTEM"; void delay(uint z) //延时函数

{

uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

void di()//蜂鸣器发声函数

{

beep=0;

delay(100);

beep=1;

}

void write_com(uchar com)//液晶写命令函数{

rs=0;

rw=0;

lcden=0;

P0=com;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

void write_date(uchar date)//液晶写数据函数

{

rs=1;

rw=0;

lcden=0;

P0=date;

delay(5);

lcden=1;

delay(5);

lcden=0;

}

void write_sfm(uchar add,uchar date)//写时分秒函数

{

uchar shi,ge;

shi=date/10;//分解一个2位数的十位和个位

ge=date%10;

write_com(0x80+0x40+add);//设置显示位置

write_date(0x30+shi);//送去液晶显示十位

write_date(0x30+ge); //送去液晶显示个位

}

void write_nyr(uchar add,uchar date)//写年月日函数

{

uchar shi,ge;

shi=date/10;//分解一个2位数的十位和个位

ge=date%10;

write_com(0x80+add);//设置显示位置

write_date(0x30+shi);//送去液晶显示十位

write_date(0x30+ge); //送去液晶显示个位

}

void lcdinit1()

{

uchar a;

write_com(0x38); //显示模式设置:16×2显示,5×7点阵,8位数据接口write_com(0x0c); //显示模式设置

write_com(0x06); //显示模式设置:光标右移,字符不移

write_com(0x01); //清屏幕指令,将以前的显示内容清除

write_com(0x80); //设置所要显示字符的位置为第一行第一列

TMOD=0x10;

TH1=(65536-50000)/256;//定时器装初值

TL1=(65536-50000)%256;

EA=1;

ET1=1;

do

{

a=0;

write_com(0x80); //设置所要显示字符的位置为第一行第一列

while(table1[a]!='\0') //只要没有写到结束标志,就继续写

{ //这是写字符的第一种方法,用while循环。

write_date(table1[a]);

a++;

}

a=0;

write_com(0x80+0x40);

while(table2[a]!='\0') //只要没有写到结束标志,就继续写

{ //这是写字符的第一种方法,用while循环。

write_date(table2[a]);

a++;

}

}

while(count1<=140&s2==1&s3==1);

count1=0;

TR1=0;

}

void init()//初始化函数

{

// uchar num;

rd=0; //软件将矩阵按键第4列一端置低用以分解出独立按键

// dula=0;//关闭两锁存器锁存端,防止操作液晶时数码管会出乱码

// wela=0;

lcden=0;

fen=0; //初始化种变量值

miao=0;

shi=9;

m=20;

moon=2;

d=14;

n=14;

s4num=0;

count=0;

count1=0;

init_24c02();

write_com(0x38);//初始化1602液晶//功能设置

write_com(0x0c);//显示开关

write_com(0x06);//设置输入方式

write_com(0x01);//清屏

write_com(0x80);//设置显示初始坐标

write_com(0x80+6);//写出时间显示部分的两个冒号

write_date('-');

delay(5);

write_com(0x80+9);

write_date('-');

delay(5);

write_com(0x80+0x40+6);//写出时间显示部分的两个冒号

write_date(':');

delay(5);

write_com(0x80+0x40+9);

write_date(':');

delay(5);

miao=read_add(1);//首次上电从AT24C02中读取出存储的数据fen=read_add(2);

shi=read_add(3);

d=read_add(4);

moon=read_add(5);

n=read_add(6);

m=read_add(7);

write_nyr(10,d);//分别送去液晶显示

write_nyr(7,moon);

write_nyr(4,n);

write_nyr(2,m);

write_sfm(10,miao);//分别送去液晶显示

write_sfm(7,fen);

write_sfm(4,shi);

TMOD=0x11;//设置定时器0,1工作模式1

TH0=(65536-50000)/256;//定时器装初值

TL0=(65536-50000)%256;

TH1=(65536-50000)/256;//定时器装初值

TL1=(65536-50000)%256;

EA=1; //开总中断

ET0=1; //开定时器0中断

ET1=1;

TR0=1; //启动定时器0

TR1=0;

}

void keyscan()//按键扫描函数

{

if(s1==0)

{

delay(5);

if(s1==0)//确认功能键被按下

{

s1num++;//功能键按下次数记录

while(!s1);//释放确认

di();//每当有按键释放蜂鸣器发出滴声

s4num=0;//终止日期调节

count1=0;

if(s1num==1)//第一次被按下时

{

TR0=0; //关闭定时器

TR1=1;

write_com(0x80+0x40+11);//光标定位到秒位置

write_com(0x0f);

}

if(s1num==2)//第二次按下光标闪烁定位到分钟位置

{

write_com(0x80+0x40+8);

}

if(s1num==3)//第三次按下光标闪烁定位到小时位置

{

write_com(0x80+0x40+5);

}

if(s1num==4)//第四次按下

{

s1num=0;//记录按键数清零

write_com(0x0c);//取消光标闪烁

TR0=1; //启动定时器使时钟开始走

TR1=0;

count1=0;

}

}

}

if(s1num!=0)//只有功能键被按下后,增加和减小键才有效

{

if(s2==0)

{

delay(5);

if(s2==0)//增加键确认被按下

{

while(!s2);//按键释放

di();//每当有按键释放蜂鸣器发出滴声

count1=0;

if(s1num==1)//若功能键第一次按下

{

miao++; //则调整秒加1

if(miao>=60)//若满60后将清零

miao=0;

write_sfm(10,miao);//每调节一次送液晶显示一下

write_com(0x80+0x40+11);//显示位置重新回到调节处

write_add(1,miao); //数据改变立即存入24C02 }

if(s1num==2)//若功能键第二次按下

{

fen++;//则调整分钟加1

if(fen>=60)//若满60后将清零

fen=0;

write_sfm(7,fen);//每调节一次送液晶显示一下

write_com(0x80+0x40+8);//显示位置重新回到调节处

write_add(2,fen);//数据改变立即存入24C02

}

if(s1num==3)//若功能键第三次按下

{

shi++;//则调整小时加1

if(shi>=24)//若满24后将清零

shi=0;

write_sfm(4,shi);//每调节一次送液晶显示一下

write_com(0x80+0x40+5);//显示位置重新回到调节处

write_add(3,shi);//数据改变立即存入24C02

}

}

}

if(s3==0)

{

delay(5);

if(s3==0)//确认减小键被按下

{

while(!s3);//按键释放

di();//每当有按键释放蜂鸣器发出滴声

count1=0;

if(s1num==1)//若功能键第一次按下

{

miao--;//则调整秒减1

if(miao==-1)//若减到负数则将其重新设置为59

miao=59;

write_sfm(10,miao);//每调节一次送液晶显示一下

write_com(0x80+0x40+11);//显示位置重新回到调节处

write_add(1,miao);//数据改变立即存入24C02

}

if(s1num==2)//若功能键第二次按下

{

fen--;//则调整分钟减1

if(fen==-1)//若减到负数则将其重新设置为59

fen=59;

write_sfm(7,fen);//每调节一次送液晶显示一下

write_com(0x80+0x40+8);//显示位置重新回到调节处

write_add(2,fen);//数据改变立即存入24C02

}

if(s1num==3)//若功能键第二次按下

{

shi--;//则调整小时减1

if(shi==-1)//若减到负数则将其重新设置为23

shi=23;

write_sfm(4,shi);//每调节一次送液晶显示一下

write_com(0x80+0x40+5);//显示位置重新回到调节处

write_add(3,shi);//数据改变立即存入24C02

}

}

}

}

}

/************************

时间调整

************************/

//闰年判断

uchar nian(uchar n)

{

uchar y;

if(n%400==0)

{

}

else if(n%100==0)

{

y=0;

}

else if(n%4==0)

{

y=1;

}

else y=0;

return y;

}

//月天数判断

uchar m_tian(uchar moon)

{

uchar y,tian,nm;

nm=n+m*100;

y=nian(nm);

if(moon==2)

{

if(y==0)

tian=28;

else tian=29;

}

else if(moon==4||moon==6||moon==9||moon==11) {

tian=30;

}

else tian=31;

return tian;

}

//键盘扫描1

void keyscan1()

{

if(s4==0)//日期功能键实现

{

delay(5);

if(s4==0)

s4num++;//s4按下的次数

while(!s4);

di();

s1num=0;//终止时间调节

count1=0;

switch(s4num)

{

case 1:

{

TR0=0;

TR1=1;

write_com(0x80+11);

write_com(0x0f);//光标显示并闪烁

break;

}

case 2:write_com(0x80+8);break;

case 3:write_com(0x80+5);break;

case 4:write_com(0x80+3);break;

case 5:

{

s4num=0;

write_com(0x0c);//光标不再闪烁

TR0=1;

TR1=0;

count=0;

break;

}

}

}

}

//日期调整

if(s4num!=0)

{

if(s2==0)

{

delay(5);

if(s2==0)//时间增加调整

{

while(!s2);

di();

count1=0;

if(s4num==1)

{

d++;

t=m_tian(moon);

if(d>t)

d=1;

write_nyr(10,d);

write_com(0x80+11);

write_add(4,d);

}

if(s4num==2)

{

moon++;

if(moon>=13)

moon=1;

write_nyr(7,moon);

write_com(0x80+8);

write_add(5,moon);

}

if(s4num==3)

{

n++;

if(n>=100)

n=0;

write_nyr(4,n);

write_com(0x80+5);

write_add(6,n);

}

if(s4num==4)

{

m++;

if(m>=100)

m=0;

write_nyr(2,m);

write_com(0x80+3);

write_add(7,m);

}

}

}

if(s3==0)//时间减少调整

{

delay(5);

if(s3==0)

{

while(!s3);

di();

count1=0;

if(s4num==1)

{

t=m_tian(moon);

if(d>t)

d=t+1;

if(d==1)

d=t+1;

d--;

write_nyr(10,d);

write_com(0x80+11);

write_add(4,d);

}

if(s4num==2)

{

if(moon>13)

moon=13;

if(moon==1)

moon=13;

moon--;

write_nyr(7,moon);

write_com(0x80+8);

write_add(5,moon);

}

if(s4num==3)

{

if(n>100)

n=100;

if(n==0)

n=100;

n--;

write_nyr(4,n);

write_com(0x80+5);

write_add(6,n);

}

if(s4num==4)

{

if(m>100)

m=100;

if(m==0)

m=100;

m--;

write_nyr(2,m);

write_com(0x80+3);

write_add(7,m);

}

}

}

}

}

void main()//主函数

{

lcdinit1();

init();//首先初始化各数据

while(1)//进入主程序大循环

{

keyscan();//不停的检测按键是否被按下

keyscan1();

}

}

void timer0() interrupt 1//定时器0中断服务程序{

TH0=(65536-50000)/256;//再次装定时器初值

TL0=(65536-50000)%256;

count++; //中断次数累加

if(count==18) //20次50毫秒为1秒

{

count=0;

miao++;

if(miao>=60)//秒加到60则进位分钟

{

miao=0;//同时秒数清零

fen++;

if(fen>=60)//分钟加到60则进位小时

{

fen=0;//同时分钟数清零

shi++;

if(shi>=24)//小时加到24则小时清零

{

shi=0;

d++;

t=m_tian(moon);

if(d>t)

{

d=1;

moon++;

if(moon>=13)

{

moon=1;

n++;

if(n>=100)

{

n=0;

m++;

if(m>=100)

m=0;

write_nyr(2,m);//bainian若变化则重新写入

write_add(7,m);//数据改变立即存入24C02

}

write_nyr(4,n);//nian若变化则重新写入

write_add(6,n);//数据改变立即存入24C02

}

write_nyr(7,moon);//yue若变化则重新写入

write_add(5,moon);//数据改变立即存入24C02

}

write_nyr(10,d);//tian若变化则重新写入

write_add(4,d);//数据改变立即存入24C02

}

write_sfm(4,shi);//小时若变化则重新写入

write_add(3,shi);//数据改变立即存入24C02

}

write_sfm(7,fen);//分钟若变化则重新写入

write_add(2,fen);//数据改变立即存入24C02 }

write_sfm(10,miao);//秒若变化则重新写入

write_add(1,miao);//数据改变立即存入24C02 }

}

void timer1() interrupt 3//定时器1中断服务程序

{

TH1=(65536-50000)/256;//再次装定时器初值

TL1=(65536-50000)%256;

count1++; //中断次数累加

if(count1==12000)

count1=0;

if(count1>=600)

{

s4num=0;

s1num=0;

di();

write_com(0x0c);//光标不再闪烁

TR0=1;

TR1=0;

count1=0;

}

}

基于单片机的电子日历时钟设计

#include #define uchar unsigned char #define uint unsigned int //----端口定义--- sbit ACC_7=ACC^7; sbit RST1=P2^5; sbit IO=P2^6; sbit SCLK=P2^7; sbit k1=P3^2; sbit k2=P3^3; sbit k3=P2^2; sbit k4=P2^3; //uchar wei[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; // 数码的位选,左到右 uchar tab_1302[7]={45,50,11,19,1,1,15}; uchar tab_time[8]={0,0,10,0,0,10,0,0}; //时间 uchar tab_day[8]={0,0,10,0,0,10,0,0,}; //年月日 uchar tab_num[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xbf}; //0 1 2 3 4 5 6 7 8 9 - {"0123456789-"} ////////////=============函数声明============//////////////// void display_time(); void delayms(uint); void display_day(); void ds1302(); //获取DS1302的时间 void ds1302_init(); //DS1302的初始化 void write1302(uchar,uchar); //指定地址向DS1302写数据 uchar read1302(uchar); //指定地址向DS1302读数据 void ds1302(); void int0_init(); /////////=======中断初始化=======/////////// void int0_init() { EX0=1;

lcd实时日历时钟评测报告

lcd实时日历时钟评测报告 部门: xxx 时间: xxx 制作人:xxx 整理范文,仅供参考,可下载自行修改

课程设计说明书 课程名称:单片机原理及应用 设计题目: LCD日历 院系: 学生姓名: 学号: 专业班级: 2018年3月 1日

目录摘要4 一.设计任务和要求4 二.方案论证4 三.核心元件的性能4 1.AT89C514 1.1 功能特性概括:5 1.2 管脚说明:5 2.DS13027 2.1DS1302引脚功能7 2.2DS1302的控制字8 2.3 DS1302的寄存器9

2.4 DS1302的数据输入输出10 四.理论分析与计算11 五.电路与程序设计11 1.系统硬件设计11 1.1系统总原理图11 1.2主控部分(单片机MCS-51>11 1.3 计时部分<实时时钟芯片DS1302)12 1.4Proteus仿真图12 2.系统软件设计13 2.1程序流程图12 2.2程序源代码12

六.结果分析23七.设计体会总结24参考文献25

摘要 此次课程设计的要求是通过LCD与单片机的连接模块能够显示数字<如时间)、字符<如英文)和图形等,这就需要专门的时钟芯片-----DS1302。DS1302是一种高性能、低功耗、带RAM的实时时钟芯片,它能够对时,分,秒进行精确计时,它与单片机的接口使用同步串行通信,仅用3条线与之相连接,就可以实现MCS-51单片机对其进行读写操作,把读出的时间数据送到LM044L上显示。程序运行时,必须先对LM044L进行初始设置,然后,通过单片机从DS1302中获取时间并通过LM044L显示。同时,进行循环赋值,使LCD 动态显示当前的时间。b5E2RGbCAP 关键字:AT89C51、DS1302,LM044L显示器 朗读显示对应的拉丁字符的拼音字典 - 查看字典详细内容 一.设计任务和要求 1. 利用DS1302实现年月日时分秒,并用LCD显示。 2.通过LCD模块与单片机的接口,能显示数字<如时间)、字符<如英文)。 3. 硬件设计部分,根据设计的任务选定合适的单片机,根据控制对象设计接口电路。设计的单元电路必须有工作原理,器件的作用,分析和计算过程;p1EanqFDPw 4. 软件设计部分,根据电路工作过程,画出软件流程图,根据流程图编写相应的程序,进行调试并打印程序清单;DXDiTa9E3d 5.原理图设计部分,根据所确定的设计电路,利用Protel工具软件绘制电路原理图,提供元器件清单。

实时日历/时钟系统的实现

山东科技大学信电学院07级大神的课程设计代码,实时日历/时钟的设计及实现825982538255仅供学弟学妹参考,课程设计还要自己做。 .386 Init macro op1,op2,op3,op4,op5,op6 mov cx,00h mov dh,op1 mov dl,op2 op6:mov ah,02h mov bh,00h int 10h push cx mov ah,0ah mov al,op3 mov bh,00h mov cx,01h int 10h pop cx inc cx inc op4 cmp cx,op5 jne op6 endm data segment shijian db 10 dup(':') ;存放时间 riqi db 20 dup(' ') ;存放日期 str1 db ' Welcome to use this clock ',0ah,0dh db '* show time--t ',0ah,0dh db '* set time--s ',0ah,0dh,'$' str2 db ' _ _ ',0ah,0dh db ' ( ) ( )',0ah,0dh db ' | |_| | ',0ah,0dh db ' | _ | /^_` )( ^_`\ ( ^_`\ ( ) ( ) ',0ah,0dh db ' | | | |( (_| || (_) )| (_) )| (_) | ',0ah,0dh db ' (_) (_)`\__,_)| ,__/^| ,__/^`\__, | ',0ah,0dh db ' | | | | ( )_| | ',0ah,0dh db ' (_) (_) `\___/^ ',0ah,0dh db ' _ _ _ _ ',0ah,0dh db ' ( ) ( ) ( ) ( ) ',0ah,0dh db ' | `\| | __ _ _ _ `\`\_/^/^__ _ _ _ __ ',0ah,0dh db ' | , ` | /^__`\( ) ( ) ( ) `\ /^/^__`\ /^_` )( ^__) ',0ah,0dh db ' |`\ | ( ___/| \_/ \_/ | | |( ___/( (_| || | ',0ah,0dh

万年历(时钟芯片和液晶显示)

#include #include #include"zhuanhuan.h" #define uchar unsigned char #define uint unsigned int sbit SCK=P3^5; sbit SDA=P3^4; sbit RST=P3^3;// DS1302复位 sbit set=P1^0;//定义按键端口 sbit s1=P1^1; sbit s2=P1^2; sbit s3=P1^3; void keyscan1();void keyscan(); /************液晶口定义*******/ sbit RS=P2^0;//RS为数据/指令选择1/0 sbit RW=P2^1;//读写 sbit E=P2^2;//E为使能信号 bit flag;//是否读取时间的标志位 uchar setn=0;//复位键、增加键、减少键按下次数 uchar code time0[]="Date: - - "; uchar code time1[]="Time: : : "; uchar l_tmpdate[]={00,00,00,7,1,7,12};//秒分时日月周年// 可随时更改uchar code write_add[7]={0x80,0x82,0x84,0x86, 0x88,0x8a,0x8c}; //秒分时日月周年写的寄存器地址 uchar code read_add[7]={0x81,0x83,0x85,0x87, 0x89,0x8b,0x8d}; //秒分时日月周年读的寄存器地址 extern uchar data dis_buf2[16]; void delay(uint z) { while(--z); } void write_com(uchar com)//液晶写命令函数 { RS=0;//写命令使能 RW=0;//写 delay(5000); /****在高脉冲下命令输入*****/ E=0; P0=com; delay(500); E=1; delay(500); E=0; }

时钟日历

Protues 图 ;程序清单: ;设置变量缓冲区 SEC EQU 30H MIN EQU 31H HOUR EQU 32H DAY EQU 33H MON EQU 34H YEAR EQU 35H ;************************************************************************* ORG 0000H LJMP MAIN ORG 000BH LJMP INT_T0 ORG 0030H MAIN: LCALL CHUSHI MOV TMOD,#01H MOV TH0,#4CH MOV TL0,#00H MOV IE,#82H SETB TR0 ;开启定时器T0 MOV 50h,#00H ;启用定时器T0的初始值 MOV 37H,#0 ;显示日期和时间的标志

MOV 38H,#0 ;12进制和24进制的标志 MOV 39H,#23 ;默认时间为24进制 CLR F0 ;日历定时0和1的标志 LOOP: MOV A,37H JZ SHIJIAN MOV R1,#33H LJMP BEGIN1 SHIJIAN:MOV R1,#30H ;初始化显示 BEGIN1: MOV A,38H JZ JZ24 MOV 39H,#11 LJMP BEGIN2 JZ24: M OV 39H,#23 BEGIN2: LCALL DISPLAY LCALL KEY ;扫描键盘 CJNE A,#05H,DINGS ;判断键值是否为5号键 LCALL DY1MS ;若是,则实现调整LCALL TIAOT LCALL TIAOT LCALL DISPLAY LJMP DOWN DINGS: CJNE A,#06H,QIEH ;判断键值是否为6号键,定时 LCALL DY1MS ;若是,则实现调整 CPL F0 JNB F0,DI0 ;F0=0,定时器0 LCALL DISHI1 ;F0=1,定时器1 LCALL DISPLAY LJMP DOWN DI0: LCALL DISHI0 LCALL DISPLAY LJMP DOWN QIEH: CJNE A,#07H,BIANH ;判断键值是否为7号键,切换 LCALL DY1MS ;若是,则切换显示,年月日与时分秒切换 LCALL DISPLAY MOV A,37H CPL A MOV 37H,A LJMP DOWN BIANH: CJNE A,#08H,DOWN ;判断是否为八号键,变换进制 LCALL DY1MS LCALL DISPLAY MOV A,38H CPL A MOV 38H,A DOWN: LJMP loop

最新毕业设计:基于单片机的电子日历时钟

一课程设计题目:电子日历时钟 二实现的功能: 基本功能: (1)显示北京时间,并且能够校准时间; (2)程序使用汇编语言; (3)显示的时、分、秒之间以及年、月、日间以小数点分隔;(4)显示公历日期,并且能够校准日期; 发挥功能: (5)运动秒表; (6)闹钟功能; (7)自动整点报时。 三课程设计的目的: 课程标志性内容的设计理解和综合运用,对所学内容进行一次实操,学以致用。 四、设计方案说明 1、硬件部分 (1)采用6位LED数码管显示日期或者时间。 (2)显示器的驱动采用“动态扫描驱动”,且采用“一键多用”的设计方案,系统电路大为简化。使用小数点表示闹 钟设置状态; (3)电路连接使用PCB,使电路连接简洁美观

2、软件部分 (1)“时钟”基准时间由单片机内部的定时中断提供,考虑因素:定时时间是“秒”的整除数,且长短适宜。最长不 能超过16位定时器的最长定时时间;最短不能少于中断服 务程序的执行时间。基准时间越短,越有利于提高时钟的 运行精确度。基准时间定为0.05秒。 (2)用一个计数器对定时中断的次数进行计数,由基准时间为0.05秒知计数值为20即可实现实现“秒”定时,同理 进行“分”﹑“时”定时,以及“日”﹑“月”﹑“年” 定时。 (3)LED 数码管显示器采用“动态扫描驱动”考虑问题:驱动信号的维持时间必须大于“起辉时间”(电流大起辉时间 短),而驱动信号的间歇时间必须小于“余辉时间”(电流 大余辉时间长),但驱动电流大小受硬件电路能力和LED 数码管极限功耗的制约。 (4)动态扫描显示方式在更新显示内容时,考虑到因LED数码管余辉的存在可能会造成显示字符的模糊,所以新内容 写入显示器之前将所有的LED数码管熄灭。 (5)关于自动识别“月大﹑月小”和“平年﹑润年”问题的考虑 a)月大和月小 2月另外计算;

用数码管显示实时日历时钟的应用设计

(用数码管显示实时日历时钟的应用设计)

摘要 本课题通过MCS-51单片机来设计电子时钟,采用汇编语言进行编程,可以实现以下一些功能:小时,分,秒和年,月,日的显示。本次设计的电子时钟系统由时钟电路,LED显示电路三部分组成。51单片机通过软件编程,在LED数码管上实现小时,分,秒和年,月,日的显示;利用时钟芯片DS1302来实现计时。本文详细介绍了DS1302 芯片的基本工作原理及其软件设计过程,运用PROTEUS软件进行电路连接和仿真,同时还介绍了74LS164,通过它来实现I|O口的扩展。 关键词:时钟芯片,仿真软件,74LS164 目录 前言 0.1设计思路 (8) 0.2研究意义 (8)

一、时钟芯片 1.1 了解时钟芯片……………………………………………….8-9 1.2 掌握时钟芯片的工作原理………………………………….10-11二、74LS164 2.1 了解74LS164........................................................11-12 2.2 掌握的74LS164工作原理. (12) 三、数码管 3.1 熟悉常用的LED数码管...........................................12-13 3.2 了解动态显示与静态显示. (13) 四、程序设计 4.0 程序流程图 (14) 4.1 DS1392的驱动.......................................................15-16 4.2 PROTUES实现电路连接. (17) 4.3 数码管的显示:小时;分;秒 (18) 4.4 数码管显示:年;月;日 (19) 五、总结…………………………………………………………………..20-21 六、附页程序………………………………………………………………22-31前言

基于单片机的电子时钟设计报告(LCD显示)

单片机原理及应用课程设计任务书 题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 单片机原理及应用课程设计任务书

题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 基于AT89C51单片机的电子时钟设计报告

一、设计要求与目的 1)设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间。 2)、使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。3)、用3个功能键操作来设置当前时间。 4)、熟悉掌握proteus编成软件以及keil软件的使用 二、本设计原理 本设计以AT89C51单片机为核心,通过时钟程序的编写,并在LCD显示器上显示出来。该编程的核心在于定时器中断及循环往复判断是否有按键操作,并对每个按键的操作在LCD显示器上作出相应的反应。由于LCD显示器每八位对应一个字符,故把秒、分、时的个位和十位分开表示。 该课题中有三个控制开关KM1、KM2、KM3分别控制时、分、秒的调整,时间按递增的方式调整,每点一次按钮则相应的时间个位加以,且时间调整不干扰其他为调整时间的显示。 三、硬件设计原理(电路) 硬件电路原理图

实时日历时钟显示系统的设计

微机原理及应用课程设计任务书 20 xx -20 xx 学年第 x 学期第 xx 周- xx 周 题目实时日历时钟显示系统的设计 内容及要求 内容:实时日历时钟显示系统 要求:设计一个实时日历时钟显示系统的程序。用“年/月/日”,“时:分:秒”(都是两位)的形式连续显示系统时间 进度安排 课程设计内容时间分配 方案论证1天 分析、设计、调试、运行3天 检查、整理、写设计报告、小结1天 合计5天 学生姓名: xx 指导时间: xxxx 指导地点: xxxx 任务下达任务完成 考核方式 1.评阅√ 2.答辩√ 3.实际操作□ 4.其它□指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

此次微机原理课程设计要求设计一个实时日历时钟显示系统。 本程序利用DOS中断2AH号功能调用取系统年月日,再逐个显示各数据,利用2CH号功能调用取系统时间,逐个显示各数据。用“时:分:秒”(都是两位)的形式连续显示系统时间,并利用计算机提供的软件调试工具对所编写程序进行调试,记录下整个调试分析的过程与运行结果。 任务安排: 主程序: xx:主体程序和流程设计 xx:日历调用显示系统 xx:时间调用显示系统 子程序: xx:显示两位数字的子程序

一、课程名称 (2) 二、课程内容及要求 (2) 三、小组组成 (2) 四、设计思路 (3) 五、程序流程图及介绍 (4) 六、调试 (5) 七、总结 (7) 八、参考资料 (9) 附录 (9)

一、课程名称:实时日历时钟显示系统的设计 二、课程内容及要求 课程内容:实时日历时钟显示系统 要求:设计一个实时日历时钟显示系统的程序。用“年/月/日”,“时:分:秒”(都是两位)的形式连续显示系统时间 三、小组组成: 成员: xx, xx, xx, xx 任务安排: 主程序: xx:主体程序和流程设计 xx:日历系统 xx:时间系统 子程序: xx:显示两位数字的子程序

电子日历时钟设计

目录 1题目设计的要求 (1) 2 系统硬件设计 (1) 2.1设计原理 (1) 2.2器件的功能与作用 (1) 2.2.1 MCS51单片机AT89C51 (1) 2.2.2 串行时钟日历片DS1302 (2) 2.2.3 液晶显示LCD1602 (3) 3 系统软件设计 (4) 3.1程序流程 (4) 3.2程序代码 (5) 4 系统仿真调试 (12) 4.1仿真原理图设计 (12) 4.2仿真运行过程 (12) 4.3仿真运行结果 (13) 5 总结 (13) 6 参考文献 (13)

1题目设计的要求 通过串行日历时钟芯片DS1302生成当前日期和是时间,通过IO口传输到AT89c52芯片中,然后再将AT89c52接收到的数据输出到LCD上。要求LCD上显示的日期和时间与当前系统时间保持一致。 2 系统硬件设计 2.1 设计原理 图3.1 电路原理图 2.2 器件的功能与作用 2.2.1 MCS51单片机AT89C51 XX AT89C51是一种带4K字节FLASH存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件

采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。 由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器。 AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 2.2.2 串行时钟日历片DS1302 系统的组成与工作原理: 系统由单片机AT89C52,串行日历时钟片DS1302,液晶显示模组LCD1602。 DS1302的CLOCK与AT89C52的P1.6相连,RST与P1.5相连,IO与P1.7相连。 LCD1602的D0~D7与AT89C51的P0.0~P.7相连,并接上拉电阻,RS与P2.0相连,RW与P2.1相连,E与P2.2相连。 DS1302是DALLAS公司拖出的涓流充电时钟芯片,内含有一个实时时钟/日历和31个季节静态RAM,通过简单地串行接口与单片机进行通信,实时时钟/日历电路提供秒、分、时、日、日期、月、年的信息,每月的天数和闰年的天数可自动调整,时钟操作可通过AM/PM指示决定采用24小时或12小时格式,DS1302与单片机之间能简单地采用同步串行方式进行通信,仅需用到RES复位、I/O 数据线、SCLK串行时钟3个口线。对时钟、RAM的读/写,可以改用单字节方式或多达31个字节的字符组方式。DS1302工作时功耗很低,保持数据和时钟信息是功率小于1mW。DS1302广泛应用于电话传真、便携式仪器及电池供电的仪器仪表等产品领域中。 RT-1602 字符型液晶模块是以两行16个子的5*7点阵吐信来显示字符的液晶显示器。 DS1302有8个引脚: X1、X2:32.768kHz晶振介入引脚。 GND:地。 RST:复位引脚,低电平有效。 I/O:数据输入/输出引脚,具有三态功能。 SCLK:串行时钟输入引脚。 Vcc1:工作电源引脚。 Vcc2:备用电源引脚。 DS1302有一个控制寄存器,12个日历,时钟寄存器和31个RAM。 控制寄存器 控制寄存器用于存放DS1302的控制命令字,DS1302的RST引脚回到高电平后写入的第一个字就为控制命令。它用于对DS1302读写过程进行控制,它的格式如下:

lcd实时日历时钟报告

课程设计说明书 课程名称:单片机原理及应用 设计题目:LCD日历 院系: 学生姓名: 学号: 专业班级: 2011年3月1日

目录 摘要 (4) 一.设计任务和要求 (4) 二.方案论证 (4) 三.核心元件的性能 (4) 1.AT89C51 (4) 1.1 功能特性概括: (5) 1.2 管脚说明: (5) 2.DS1302 (7) 2.1 DS1302引脚功能 (7) 2.2 DS1302的控制字 (8) 2.3 DS1302的寄存器 (9) 2.4 DS1302的数据输入输出 (10) 四.理论分析与计算 (12) 五.电路与程序设计 (12) 1.系统硬件设计 (12) 1.1系统总原理图 (12) 1.2 主控部分(单片机MCS-51) (12) 1.3 计时部分(实时时钟芯片DS1302) (13) 1.4 Proteus仿真图 (13) 2.系统软件设计 (14) 2.1 程序流程图 (13)

2.2 程序源代码 (13) 六.结果分析 (23) 七.设计体会总结 (24) 参考文献 (25) 摘要

此次课程设计的要求是通过LCD与单片机的连接模块能够显示数字(如时间)、字符(如英文)和图形等,这就需要专门的时钟芯片-----DS1302。 DS1302是一种高性能、低功耗、带RAM的实时时钟芯片,它能够对时,分,秒进行精确计时,它与单片机的接口使用同步串行通信,仅用3条线与之相连接,就可以实现MCS-51单片机对其进行读写操作,把读出的时间数据送到LM044L上显示。程序运行时,必须先对LM044L进行初始设置,然后,通过单片机从DS1302中获取时间并通过LM044L显示。同时,进行循环赋值,使LCD 动态显示当前的时间。 关键字:AT89C51、DS1302,LM044L显示器 一.设计任务和要求 1.利用DS1302实现年月日时分秒,并用LCD显示。 2.通过LCD模块与单片机的接口,能显示数字(如时间)、字符(如英文)。 3. 硬件设计部分,根据设计的任务选定合适的单片机,根据控制对象设计接口电路。设计的单元电路必须有工作原理,器件的作用,分析和计算过程; 4. 软件设计部分,根据电路工作过程,画出软件流程图,根据流程图编写相应的程序,进行调试并打印程序清单; 5.原理图设计部分,根据所确定的设计电路,利用Protel工具软件绘制电路原理图,提供元器件清单。 6计算说明书部分包括方案论证报告打印版或手写版,程序流程图具体程序等 7. 图纸部分包括具体电路原理图打印版 8. 设计要求还包括利用一天时间进行资料查阅与学习讨论,利用5天时间在实验室进行分散设计,最后三天编写报告。最后一天进行成果验收。 二.方案论证 实现数字电子钟的设计有以下两种基本方案,现就两种基本方案的优劣进行具体论证,

单片机电子时钟(LCD显示)综合实验报告

单片机综合实验报告 题目:电子时钟(LCD)显示 一、实验内容: 以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: ●使用字符型LCD显示器显示当前时间。 ●显示格式为“时时:分分:秒秒”。 ●用4个功能键操作来设置当前时间,4个功能键接在 P1.0~P1.3引脚上。 功能键K1~K4功能如下。 ●K1—进入设置现在的时间。 ●K2—设置小时。 ●K3—设置分钟。 ●K4—确认完成设置。 程序执行后工作指示灯LED闪动,表示程序开始执 行,LCD显示“00:00:00”,然后开始计时。 二、实验电路及功能说明 1)单片机主控制模块 以AT89C51单片机为核心进行一系列控制。 2)时钟显示模块

用1602为LCD显示模块,把对应的引脚和最小系统 上的引脚相连,连接后用初始化程序对其进行简单 的功能测试。测试成功后即可为实验所用,如图: 3)时间调整电路 用4个功能键操作来设置当前时间,4个功能键接在 P1.0~P1.3引脚上。功能键K1~K4功能如下。K1— 进入设置现在的时间。K2—设置小时。K3—设置 分钟。K4—确认完成设置。如图: 三、实验程序流程图: 主程序:

时钟主程序流程子程序:

四、实验结果分析

实验结果及分析:单片机的晶振可以根据要求设定。 6MHZ为和现实时间显示相同。实验采用12MHZ晶振采用方式1定时,选取50ms采用20次中断达到一秒,采用查表方式控制LCD显示。当烧入程序后开始运行,根据初始值设定可以观察到显示的时间,这里为了更明显观察显示数据变化把起始值设为23:59:50 运行后显示 ,K1为进入现在设置时间,当按下 K1后显示,和实验要求相比较,实现了按下K1进入现在时间设置,按下K4确认完成时间设置的功能;不同之处: 当进入时间设置时在按下K1设置小时,再次按下K1是设置分钟。增加功能:进入时间设置并选择设置位置后K2键位数字增加功能,K3键为数字减小功

单片机课程设计 电子日历时钟显示器设计

目录 1.题目设计要求 (1) 2.开发平台简介 (1) 3.系统硬件设计 (2) 3.1设计原理 (2) 3.2器件的功能与作用 (2) 3.2.1 MCS51单片机AT89C51 (2) 3.2.2复位电路 (3) 3.2.3晶振电路 (4) 3.2.4 DS1302时钟模块 (4) 3.2.5 引脚功能及结构 (4) 3.2.6 DS1302的控制字节 (5) 3.2.7 数据输入输出(I/O) (5) 3.2.8 DS1302的寄存器 (6) 3.2.9 液晶显示LCD1602 (6) 3.2.10 串行时钟日历片DS1302 (8) 4.系统软件设计 (10) 4.1程序流程 (10) 4.2程序代码 (10) 5.系统仿真调试 (20) 5.1仿真原理图设计 (20) 5.2仿真运行过程 (21) 5.3仿真运行结果 (21) 6.总结 (21) 7.参考文献 (22)

1.题目设计要求 通过串行日历时钟芯片DS1302生成当前日期和是时间,通过IO口传输到AT89c52芯片中,然后再将AT89c52接收到的数据输出到LCD上。要求LCD上显示的日期和时间与当前系统时间保持一致。 2.开发平台简介 2.1系统仿真平台Proteus Proteus软件是由英国Labcenter Electronics公司开发的EDA工具软件,已有近20年的历史,在全球得到了广泛应用。Proteus软件的功能强大,它集电路设计、制版及仿真等多种功能于一身,不仅能够对电工、电子技术学科涉及的电路进行设计,还能够对微处理器进行设计和仿真,并且功能齐全,界面多彩。和我们手头其他的电路设计仿真软件,他最大的不同即它的功能不是单一的。另外,它独特的单片机仿真功能是任何其他仿真软件都不具备的。 2.2软件开发平台Keil C Keil C51是美国Keil Software公司出品的51系列兼容单片机C语言软件开发系统,与汇编相比,C语言在功能上、结构性、可读性、可维护性上有明显的优势,因而易学易用。Keil提供了包括C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等在内的完整开发方案,通过一个集成开发环境(uVision)将这些部分组合在一起。Keil C51生成的目标代码效率之高,多数语句生成的汇编代码很紧凑,容易理解。在开发大型软件时更能体现高级语言的优势。

课程设计(数字日历钟表的设计)

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

(完整版)电子时钟(LCD显示)

电气工程及自动化专业 单片机原理及应用课程设计报告 姓名:XXXXXX 学号:XXXXXXX 专业班级:XXXXXX 题目:电子时钟(LCD显示) 电气与电子工程学院 二〇一四年十二月三十日

目录 一、设计目的 (2) 二、设计任务和要求 (2) 三、设计原理分析 (2) 四、硬件资源及其分配 (3) 五、硬件图 (4) 六、程序框图 (5) 七、程序 (7) 八、调试运行 (13) 九、仿真截图 (13) 十、设计心得体会 (14)

一、设计目的 1、设计要求以AT89C51单片机为核心的时钟,在LCD 显示器上显示当前的时间。 2、 使用字符型LCD 显示器显示当前时间。显示格式为“时时:分分:秒秒”。 3、用4个功能键操作来设置当前时间。 4、熟悉掌握proteus 编成软件以及keil 软件的使用 二、设计任务与要求 本设计以AT89C51单片机为核心,通过时钟程序的编写,并在LCD 显示器上显示出来。该编程的核心在于定时器中断及循环往复判断是否有按键操作,并对每个按键的操作在LCD 显示器上作出相应的反应。由于LCD 显示器每八位对应一个字符,故把秒、分、时的个位和十位分开表示。 该课题中有四个控制开关KM1、KM2、KM3、KM4分别控制时、分、秒、确定的调整,时间按递增的方式调整,每点一次按钮则相应的时间个位加以,且时间调整不干扰其他为调整时间的显示。 三、设计原理分析 1、按照系统的设计功能要求,本时钟系统的设计必须采用单片机软件系统实现,用单片机的自动控制能力配合按键控制,来控制时钟的调整及显示。 图一 系统总原理图

2、软件主要完成功能 (1)显示时间程序 用软件调节时间,通过程序的调节,最后用LED现实时钟 (2)调节时间程序 按键调节时间,能实现时、分的调节 3、软件设计主要流程 时间控制程序 时间控制程序,用中断准确的控制时间,采用60进制,60秒为一分钟,60分钟为一个小时,全天设置为24小时。 四、硬件资源及原理图 AT89C51芯片 AT89C51 是美国ATMEL 公司生产的8 位Flash ROM 单片机。其最突出的优点是片内ROM 为Flash ROM,可擦写1000 次以上,应用并不复杂的通用ROM 写入器就能方便的擦写,读取也很方便,价格低廉,具有片程序ROM 二级保密系统。因此可灵活应用于各种控制领域。 AT89C51 包含以下一些功能部件: 1. 一个8 位CPU ; 2. 一个片内振荡器和时钟电路; 3. 4KB Flash ROM ; 4. 128B 内RAM; 5. 可寻址64KB 的外ROM 和外RAM 控制电路; 6. 两个16 位定时/计数器; 7. 21 个特殊功能寄存器; 8. 4 个8 位并行I/O 口; 9. 一个可编程全双工串行口; 10. 5 个中断源,可设置成2 个优先级。 AT89C51 单片机一般采用双列直插DIP 封装,共40 个引脚,图2-1 为其引脚排列图。40 个引脚大致可分为4 类:电源、时钟、控制各I/O 引脚

基于单片机的万年历时钟设计【文献综述】

毕业设计开题报告 测控技术与仪器 基于单片机的万年历时钟设计 1前言部分 在当代繁忙的工作与生活中,时间与我们每一个人都有非常密切的关系,每个人都受到时间的影响。为了更好的利用我们自己的时间,需要一款灵活、稳定而又功能强大的自动定时控制系统,以规范本单位的作息时间或定时控制一些设备。目前,市面上出现的一些时控设备或功能单一,或使用烦琐,或价格昂贵,总有一些不尽如人意的地方[1]。我们必须对时间有一个度量,因此产生了钟表。钟表的发展是非常迅速的,17 世纪中叶, 由荷兰人C. Huygens来发明的第一个钟摆与以前任何计时装置相比, 摆钟的精确度提高了上百倍,而他随后发明的螺旋平衡弹簧,又进一步提高精度、减小体积, 导致了怀表的出现。然而再好的摆钟,其精度也只能达到每年误差不超过一秒[2]。1939年出现了利用石英晶体振动计时的石英钟, 每天误差只有千分之二秒, 到二次大战后精度提高到30 年才差一秒。很快, 测年的技术又推进到原子层面, 1948 年出现第一台原子钟, 1955年又发明了铯原子钟, 利用Cs133原子的共振频率计时,现在精度已经高达每天只差十亿分之一秒[2]。 从刚开始的机械式钟表到现在普遍用到的数字式钟表,即使现在钟表千奇百怪,但是它们都只是完成一种功能——计时功能,只是工作原理不同而已,在人们的使用过程中,逐渐发现了钟表的功能太单一,无法更大程度上的满足人们的需求。发展到现在人们广泛使用的万年历。万年历在家庭居室、学校、车站和广场使用越来越广泛,给人们的生活、学习、工作带来极大的方便[3]。电子万年历具有信息量大、直观清晰、经济实用等优点,正成为家庭、商场、公共场所等新的消费热点,具有重要的开发价值[4]。随着科技的不断发展,家用电子产品不但种类日益丰富,而且变得更加经济实用,,功能也越来越齐全,除了公历年月、日、时分秒、星期显示及闹铃外,又增加了农历、温度、24节气及l2生肖等显示。甚至还有语音报时等独特功能。再加上造型新颖别致,附带立体动感画面,

实时日历时钟系统设计

内蒙古工业大学本科毕业设计说明书 摘要 在当今社会,随着电子产品的不断发展,许多电子设备都趋于智能化,人们都基 本熟悉这些电子产品的基本功能,然而却很少人知道它的内部结构以及工作原理。这 些设备大部分都含有CPU控制器或者是单片机,其中单片机以其COMS化、体积小、成本低、运用灵活、易于产品化等一系列优点,这些年得到迅猛的发展和推广,广泛 的应用于工业自动控制,通讯设备,家用电器等各个领域。 本设计的日历时钟产品是小型电子产品。主要是以单片机AT89C51为总控制器,由时钟芯片DS12C887读取时间数据与掉电储存,用键盘来完成对时间调整,最后通 过1602液晶显示器显示出来,从而达到显示时间的目的。日历时钟广泛的应用于个 人家庭以及车站、医院、商场、办公室等公共场所,成为人们日常生活中不可少的必 需品。因此,本设计具有相当重要的现实意义和实用价值。 关键词:日历时钟;单片机;1602液晶显示;DS12C887

内蒙古工业大学本科毕业设计说明书 Abstract With the continuous development of electronic products in today's society, many electronic devices have tended to be intelligent, people are basically familiar with the basic functions of these electronic products, yet few people know about its internal structure and working principle. most of which containing CPU controller or microcontroller. In recent years, Microcontroller has been the rapid development and large-scale promotion with its with its COMS, small size, low cost, flexible use, easy-to-product such as a number of advantages. It has been widely used in various fields of industrial control systems, communications equipment, and household appliances. The design of the product is small calendar clock electronic products. Mainly based on AT89C51 microcontroller controller, by the clock chip DS12C887 access time data and power-down storage, and use the keyboard to complete on time to adjust, and finally LCD1602 monitor display, so as to achieve the purpose of display time. T he calendar clock is widely used in individual households, as well as railway stations, hospitals, shopping malls, offices and other public places to become the indispensable necessities of daily life. Therefore, the design has very important realistic significance and practical value. Key words: Calendar clock;Microcontroller;LCD1602; DS12C887

实时日历时钟显示毕业设计

湖南工程职业技术学院HUNAN ENGINEERING POLYTECHNIC 毕业设计(论文) 设计(论文)题目:实时日历时钟显示 系部:信息工程系 专业:电子信息工程技术专业 学生姓名: Xxx 学号 24 班级: xxx 职称讲师 指导教师姓名:易xxxx 最终评定成绩 信息工程系 二○一二年五月制

2012年5月21日 题目:毕业设计之开题报告 1.研究背景、目的及意义。 在社会迅速发展的今天,单片机的的运用已经渗透到我们生活的每个角落,也似乎很难找到哪个领域没有单片机的足迹。智能仪表、医疗器械,导弹的导航装置,智能监控、通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,汽车的安全保障系统,动控制领域的机器人,数码像机、电视机、全自动洗衣机的控制,电话机以及程控玩具、电子宠物等等,这些都离不开单片机。所以,单片机的学习、开发与应用将对于现代社会的发展,经济的繁荣,和提高满足人类日益增长的物质文化需求有着至关重要的作用。也成就了一批又一智能化控制的工程师和科学家。科技越发达,智能化的东西就越多。学习单片机是社会发展的必然需求,也是我们现代高级技工所必须要掌握的技能。 至今,单片机的性能已比较完善,且专业化的特点很强,为各种应用提供了很大的方便。由于体积小,价格低,功耗低、控制功能强且控制逻辑可由软件来实现,因此可以很方便地完成由一般数字电路很难实现的控制逻辑。随着人们生活水平的提高和生活节奏的加快,对时间的要求越来越高,精准数字计时的消费需求也是越来越多。 二十一世纪的今天,最具代表性的计时产品就是实时日历时钟,它是近代世界钟表界的第三次革命。第一次是摆和摆轮游丝的发明,相对稳定的机械振荡频率使钟表的走时差从分级缩小到秒级,代表性的产品就是带有摆和摆轮游丝的机械表或钟。第二次革命是石英晶体振荡器的应用,发明了走时精度更高的石英电子钟表,使钟表的走时月差从分级缩小到了秒级。第三次革命就是单片机数码计时技术的应用(实时日历时钟)。实时日历时钟显示系统的设计是采用单片机控制实现对时、秒数字显示的计时装置,分、广泛应用于个人家庭、车站、办公室等公共场所,成为人们日常生活中的必需品。实时日历时钟显示系统的设计给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。因此,计时产品的走时日差从分级缩小到了百万分之一秒,从原有传统指针计时的方式发展为人们日常更为熟悉的夜光数字显示式,直观明了,并增加了全自动日期、星期、温度以及其他日常附属信息的显示功能,他更符合消费者的生活需求,因此,实时日历时钟的出现带来了钟表计时业跨越性的进步。

相关主题