搜档网
当前位置:搜档网 › 简易数字电子钟

简易数字电子钟

简易数字电子钟
简易数字电子钟

XXX大学

《电子技术》课程设计报告(仿真电路使用proteus7.7制作)

题目简易数字电子钟

学院(部)

专业

班级

学生姓名

12 月27 日至1 月7 日共2 周

指导教师(签字)

目录

摘要-------------------------------------------------------------------------------------2

1.课程设计名称----------------------------------------------------------------------3

2.关键字-------------------------------------------------------------------------------3

3.课程设计要求----------------------------------------------------------------------3

4.课程设计内容----------------------------------------------------------------------3—10 第一章系统概述-----------------------------------------------------------------3—4 第二章单元电路设计与分析--------------------------------------------------4—8 第三章系统综述,总体电路图-----------------------------------------------8—9 第四章总结结束语------------------------------------------------------------10

5.元器件明细表---------------------------------------------------------------------10—12

6.设计中的收获与体会-------------------------------------------------------------12—13

7.参考文献---------------------------------------------------------------------------13

摘要

数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用.。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。时钟采用24小时制计时法,它是由数字脉冲发生电路、计数电路、译码电路、校时电路以及显示器等组成。为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易,制造成本低等优点。

1.课程设计名称

简易数字电子钟

2.关键字

数字电子钟振荡电路计数器译码器数码管校时电路

3.课程设计要求

(1)设计一个具有“时”,“分”,“秒”(24小时制计时,显示23小时59分59秒)显示,且有校时功能的数字电子钟;

(2)用中小规模集成电路组成电子钟;

(3)画出框图和逻辑电路图,写出设计实验总结报告。

4.课程设计内容

第一章系统概述

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。

实验中的数字电子钟使用555集成芯片构成多谐振荡器产生计时脉冲信号,通过分频器(74LS90集成芯片)使脉冲信号达到标准的秒脉冲信号(即产生频率为1HZ的信号)。秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示00~59,它们的个位为十进制,十位为六进制。分秒功能的实现是用两片74LS161组成60进制递增计数器。时为二十四进制计数器,显示为00~23,当十进位计到2,而个位计到4时清零,就为二十四进制。时功能的实现也是用两片74LS161组成24进制递增计数器。对计数信号采用74LS48集成芯片实现译码,使用6个共阴极七段数码管显示时,分,秒的计数。通过组合逻辑电路对时钟的“分”,“时”进行校时,为避免校时中机械开关产生的抖动,所以在校时电路中加入RS锁存器,开关每按压一次,输出信号改变一次。时钟电路框图如图1.

图1

第二章单元电路设计与分析

(1) 振荡器

振荡器是数字电子时钟的核心部分,其作用是产生一个标准频率的脉冲信号,信号振荡频率的精度和稳定度决定了数字钟的质量。本实验中采用555集成芯片与RC构成多谐振荡器产生脉冲信号(如图2),信号从“3”脚输出,。调节Rp可以改变脉冲信号的频率。一般来说,振荡频率越高,产生信号的精确度越高,但是,同时振荡频率增大耗电量也会增加。试验中,微调Rp使信号的输出频率为1kHZ。(若要对精确度具有更高要求的时候,可以采用石英晶体振荡器产生脉冲信号)

(2)分频器

由于振荡器产生的频率很高(f=1kHZ),要得到标准的秒脉冲信号,需要分频电路。本实验由集成电路定时器555与RC组成的多谐振荡器,产生1KHz的脉冲信号。因此,

可以采用三片74LS90集成芯片(二—五—十分频器)来实现分频。计数脉冲从A

CP输入,

若0Q 为输出时实现二分频;当B CP 与0Q 相连,3Q 作为输出端时,电路实现十分频。三片74LS90均采用十分频连接,从而得到需要的1HZ 标准秒脉冲信号。电路如图3.。

图3

(3)计数器

标准秒脉冲信号经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位以及“时”个位、十位的计时。“秒”“分”计数器为六十进制计数,“时”为二十四进制计数。

①六十进制计数器

由分频器来的脉冲信号,首先送到“秒”计数器进行累加,秒计数器应完成一分钟之内的秒数目的累加,并达到60秒时产生一个向分钟的进位信号。因此,可以选用两片74LS161集成芯片组成60进制计数器。其中,“秒”个位为十进制,“秒”十位为六进制。电路如图4.。

图4

由图可知CR (MR )接高电平,秒信号脉冲从CLK 端输入进行十进制记数,满十输出进位信号,即5U 中的0123Q Q Q Q =1010时计数器清零,同时输出进位信号,此信号用于控制秒十位计数器的记数。秒十位计数器为六进制计数器,Q1、Q2的输出端通过与非门输出构成清零复位信号给CR (MR )端,当6U 中的0123Q Q Q Q =0110时计数器清零,从而构成六进

制计数器,同时输出向“分”计数器的进位信号。

分计数器的组成电路与秒计数器的组成电路完全相同。不过进入CP 的脉冲信号为秒十位进位信号输入的信号。

②二十四进制计数器

数字电子钟采用24小时制计时法,因此在“时”计数上采取二十四进制计数器。由“分”十位进位的脉冲信号,首先送到“时”个位计数器,“时”个位计数器由74LS161集成芯片构成十进制计数,计数信号满10向十位进位,“时”十位也是用74LS161芯片构成3进制计数器。 电路如图5

图5

由图可知,来自“分”十位的进位信号进入“时”个位计数器,计数器满10清零,即当计数器9U 的0123Q Q Q Q =1010时,同时向“时”十位计数器送入脉冲信号。当9U 中的

0123Q Q Q Q =0100且10U 中的0123Q Q Q Q =0010时,计数器9U ,10U 同时清零,即完成24进

制计数。

(4)译码器及数码管

译码是把给定的代码进行翻译, 将时、分、秒计数器输出的四位二进制代码翻译为相应的十进制数, 并通过LED 显示器显示, 通常LED 显示器与译码器是配套使用的。实验中选用的七段译码驱动器(74LS48集成芯片) 和数码管(LED) 采用共阴极接法。电路如图6

图6

(5)校时电路

①通常情况下,时钟开始计时与标准时间不同,时钟采用输入脉冲信号给“时”,“分”校正,电路如图7

图7

由图7可知,当开关S向A闭合(自动闭合)时,时钟正常计数,当开关向B闭合(手动闭合)时,每按压一次输出一个脉冲,即计数器计数增加1。在按压按开关键时,由于机械开关的接触抖动,往往在几十毫秒内电压会出现多次抖动,相当于连续出现了几个脉冲信号。显然,用这样的开关产生的信号直接作为电路的驱动信号可能导致电路产生错误动作,这些情况下是不允许的。为了消除开关的接触抖动,因此在机械开关与被驱动电路间接接入一个基本RS触发器。当S为=0,R=1(即开关向B闭合时),可得出CP=l,CP=0。当按压按键时,开关向A闭合,S=1,R=0,可得出CP=0,CP=1,改变了输出信号的状态。若由于机械开关的接触抖动,则R的状态会在0和1之间变化多次,若R=l,由于

A=0,因此G2(

U A)门仍然是“有低出高”,不会影响输出的状态。同理,当松开按键22

时,S端出现的接触抖动亦不会影响输出的状态。因此,图7所示的电路,开关每按压一次,输出信号CP仅发生一次变化。这样就可以对时钟的“时”,“分”进行手动校正。

②为使时钟具有更加准确的计时,可采用等待校时对”秒“进行校正。如图8,

图8

当开关SW3闭合时,时钟正常计数;当开关断开时,计数器停止计数,时钟可以进行对“秒”的校正,当标准时间与时钟显示的时间相同时,闭合开关SW3,这样就实现了对“秒”的校正。

第三章系统综述,总体电路图

电路通电后,由于计数器和译码器驱动电路的状态不同,数字电子钟的显示时间要通过校时电路来调整。

555构成多谐振荡器产生的f=1Hz的标准时间信号经过三个74LS90二-五-十进制计数器级联分频后,从第三个74LS90的

Q脚输出f=1Hz的秒方波信号,它既是秒计数器的计数

3

脉冲,同时又作为时、分校正电路的校正信号(对分,时的校正也可以手动输入信号)。

秒脉冲信号送入秒计数器的个位进行计数,秒计数器个位从0开始计数,到9后输出进位信号,秒十位计数器加1,如此循环下去,当秒十位计数到6时,向分计数器送出进位信号,从而完成秒六十进制计数;分六十进制计数器工作原理与秒计数器完全相同;时计数器的个位在分计数器输入的进位信号作用下进行0—9的计数,到9后再接受到分进位信号,时个位输出1,输出计数脉冲使时十位的计数器加1,当时十位和时个位显示23点时,再有分进位信号脉冲输入时清零,显示为00点。时钟显示最大计数为23:59:59。各计数器的输出端输出的BCD码,分别送入相应的74LS48译码驱动集成电路译码,输出到LED共阳极数

码管进行时间的显示。整体电路如图9

图9

第四章总结结束语

利用中小规模的集成电路设计了一台数字电子钟。该数字电子钟具有显示当前时、分、秒的时间、校时等功能。在该系统中集成计数器是关键部件,由它构成了60进制秒计数器、60进制分计数器和24进制时计数器,并用译码器,LED七段数码显示器显示这三个计数器的输出。在校时电路中,秒校时电路和分、时校时电路分别采用等待校时和输入脉冲校时来校对当前的显示时间。

在试验中,一般采用555构成多谐振荡器,或使用石英晶体振荡器产生脉冲信号,一般认为,振荡频率越高则精度越高,但是频率升高也会增加耗电量,所以在本实验中选用555多谐振荡器产生1KHZ的方波脉冲信号,经过分频后降为1HZ的信号输入到秒计数器,电路开始计数时,有一定的示数显示,整个电路并非从零开始计数。这对于数字电子钟的应用没有影响,因为电子钟本身就需要时钟校正电路。通过校正电路对时钟进行校正,使之与当前的标准时间相吻合。在使用机械开关对时钟进行较正时,时常会在电路中发生抖动,对此可以加入RS锁存器避免抖动产生的影响,用此方法可以对时钟进行手动输入信号校正。也可以直接将校正信号接至1HZ的秒脉冲信号进行自动计数校正(若要加速校正电路,也可以将校正信号接至5HZ信号输出端,即第三片74LS90芯片的

Q端),这样也可以避免部分

在按压机械开关时产生的抖动。

5.元器件明细

表一元器件明细表

序号名称型号参数数量备注

1 555计时器NE555 1

2 二五十分频计数器74LS90 3

3 二进制加计数器74LS161 6

4 BCD七段译码器74LS48 6

5 数码显示管74EG-DIGITAL 6

6 2输入端四与非门74LS00 3 使用10个电路

7 2输入端四与门74LS08 1 使用1个电路

8 六反相器74LS04 1 使用2个电路

9 电阻10WATT1K 6

10 电容 AUDI01U 2 11 机械开关 SW-SPDT 3 12

导线

导线

若干

主要器件附图 ①74LS90

74LS90具有如下的五种基本工作方式:

(1)计数脉冲从CP 1输入,Q A 作为输出端,为二进制计数器。

(2)计数脉冲从CP 2输入,Q D Q C Q B 作为输出端,为异步五进制加法计数器。 (3)若将CP 2和Q A 相连,计数脉冲由CP 1输入,Q D 、Q C 、Q B 、Q A 作为输出端, 则构成异步8421码十进制加法计数器。

(4)若将CP 1与Q D 相连,计数脉冲由CP 2输入,Q A 、Q D 、Q C 、Q B 作为输出端, 则构成异步5421码十进制加法计数器。

(5)清零、置9功能。

异步清零:当R 0(1)、R 0(2)均为“1”;S 9(1)、S 9(2)中有“0”时,实现异步清零功能,即Q D Q C Q B Q A =0000。

置9功能:当S 9(1)、S 9(2)均为“1”;R 0(1)、R 0(2)中有“0”时,实现置9功能,即Q D Q C Q B Q A =1001

② 74LS161

74LS161是常用的四位二进制可预置的同步加法计数器,当清零端CR=“0”,计数器输出0123Q Q Q Q =0000,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP 信号上升沿作用后,74LS161输出端0123Q Q Q Q 的状态分别与并行数据输入端

0123D D D D 的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP 脉冲

上升沿作用后,计数器加1。74LS161还有一个进位输出端CO ,其逻辑关系是CO=

Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器

③74LS48

74LS48集成芯片作为BCD-七段译码器,其主要功能实现对二进制数的解码,常与数码显示管配合使用,使之显示出相应的十进制数字。A,B,C,D为相应的四位二进制输入,abcdefg与数码管相对的abcdefg连接完成译码显示。

6.设计中的收获与体会

通过这次对数字电子钟的设计,让我们了解了设计电路的程序,也让我了解了关于数字钟的原理与设计理念。在此次的数字钟设计过程中,更进一步地熟悉了多种芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.

在计数器的连接中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了.,在电路连接时注意各管脚的位置顺序。

要设计一个电路先进行软件模拟仿真再进行实际的电路制作。由于实际中芯片的特性及差异最后的成品实现功能却不一定与仿真时完全一样,因为,再实际接线中有着各种各样

的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。通过这次学习,让我们对各种电路都有了大概的了解。

在本次课程设计中,让我们更加熟练地掌握芯片的功能与使用方法,而且也学会了仿真软件的应用对于电子专业的学生来说,实际能力的培养至关重要,而这种实际能力的培养单靠课堂教学是远远不够的,必须从课堂走向实践。这也是一次预演和准备毕业设计工作。通过课程设计,让我们找出自身状况与实际需要的差距,并在以后的学习期间及时补充相关知识,为求职与正式工作做好充分的知识、能力准备,从而缩短从校园走向社会的心理转型期。通过课程设计的过程,进一步激发了我们对专业知识的兴趣,并能够结合实际存在的问题在专业领域内进行更深入的学习。

7.参考文献

(1)林涛《数字电子技术基础》清华大学出版社2006年(ISBN978-7-302-12064-3)(2)郁汉琪《数字电路实验及课程设计是指导书》中国电力出版社2007年(ISBN978-7-5083-5232--9)

评语

评审人:

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

单片机简易时钟课程设计

目录 1.概论 (1) 2.整体设计思路 (2) 2.1硬件各部分所能完成的功能 (3) 2.2系统工作原理 (4) 2.3时钟各功能分析及图解 (4) 2.4.1电路各功能图解分析 (4) 2.4.2电路功能使用说明 (7) 3. 软件设计思路 (8) 3.1 主程序模块 (8) 3.2 数码管动态扫描模块 (9) 3.3 当前时间计时模块 (9) 3.4 闹钟输入输出模块 (10) 3.5 当前时间调整模块 (12) 3.6复位模块 (13) 4.系统的调试和性能分析 (14) 4.1系统的调试方法 (14) 4.1.1输入按键的调试 (14) 4.1.2复位电路的调试 (14) 4.1.3显示电路的调试 (14) 4.1.4整个系统的联调 (14) 4.2心得体会 (15) 参考文献 (15) 附录 (16) 附录A 系统原理图 (16) 附录B 程序源代码 (17) 电气信息学院课程设计评分表 (28)

1.概论 单片机系统作为一种典型的嵌入式系统,其系统设计包括硬件电路设计和软件编程设计两个方面,其调试过程一般分为软件调试、硬件测试、系统调试3个过程。如果采用单片机系统的虚拟仿真软件——Proteus,则不用制作具体的电路板也能够完成以上工作。数字钟是采用数字电路实现对时,分,秒,数字显示的计时装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表的报时功能。数字钟已成为人们日常生活中的必需品,广泛应用于家庭、车站、码头、剧院、办公室等场所,给人们的生活、学习、工作带来极大的方便[4]。不仅如此,在现代化的进程中,也离不开电子钟的相关功能和原理,比如机械手的控制、家务的自动化、定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。而且是控制的核心部分。因此,研究数字钟及扩大其应用,有着非常现实的意义。 电子钟在工业控制和日常生活中是很重要的,它不仅可以用于计时、提醒又可用于对机器的控制,在自动化的过程中必然有电子钟的参与,因此电子钟的应用会越来越广泛。而且向着精确、低功耗、多功能发展。基于单片机设计的数字钟精确度较高,因为在程序的执行过程中,任何指令都不影响定时器的正常计数,即便程序很长也不会影响中断的时间。从而,使数字钟的精度仅仅取决于单片机的产生机器周期电路和定时器硬件电路的精确度。另外,程序较为简洁,具有可靠性和较好的可读性。如果我们想将它应用于实时控制之中,只要对上述程序和硬件电路稍加修改,便可以得到实时控制的实用系统,从而应用到实际工作与生产中去。 数字电子钟的设计方法有多种,例如,可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟还可以利用单片机来实现电子钟等等。这些方法都各有特点,其中,利用单片机实现的电子钟具有编程灵活,便于功能扩充,精确度高等特点。

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

数电 简易数字计时时钟电路设计

闽南师范大学物理与信息工程院 课程设计报告课题:简易数字计时电路设计 姓名: 学号: 系别: 专业: 年级: 指导教师: 2013年11 月3 日

摘要:本课设是以并联谐振方式经过二分频产生一个秒脉冲,依次通过十分频、六分频、十分频三个电路产生一个时间能达到九分五十九秒的时钟。具有报警、清零、启动计时、暂停计时及继续计时等功能。在电源上也是采用简单实用的稳压电源。该电路节省成本,电路原理清晰,稍作修改可以用来当做闹钟、计时等。 关键词:计时报警 74LS161 CD4060 CD4011 74LS48

目录 1.设计任务 (4) 1.1 设计目的 (4) 1.2 设计要求 (4) 2.设计方案 (5) 2.1 设计总框图 (5) 2.1.1 设计思路 (5) 2.2 直流稳压电源 (5) 2.3 秒脉冲信号发生器电路 (6) 2.4 分频电路 (7) 2.5 显示及其驱动电路 (8) 2.6 即时时间设置电路 (8) 2.7 报警选频电路 (10) 2.8 蜂鸣器驱动电路 (10) 3.系统测试 (11) 3.1 电路的检查 (11) 3.2 电路板的调试及其问题 (11) 3.3 数据测量 (12) 4.结论 (14) 5.参考资料 (14) 6.附录 (14) 6.1 元器件清单 (14) 6.2 仪器设备清单 (15) 6.3 原理图 (15) 6.4 PCB图 (16) 6.5 实物图 (17)

1.设计任务 1.1设计目的 1.熟悉中、小规模数字集成电路的使用方法。 2.熟悉常用分频、计数、译码、显示等电路。 3.掌握数字电路设计、组装、调试方法。 1.2设计要求 1.具有“分”“秒”显示的计时电路(9分59秒)。 2.具有随时计时清零的功能。 3.秒信号产生、系统电源设计。 4.具有调整“分”“秒”的功能。 5.计时将满时具有声音提示功能: 9分51秒、53秒、55秒、57秒、59秒输出前4响低音,后1响高音鸣叫。步长为1秒,最后1响结束时正好为整点。(低音500Hz左右,高音1000Hz左右)。 7.用中小规模集成电路实现,画出系统框图、各单元逻辑电路图。 6.铺铜板板的大小(10cm * 10cm)。 2. 设计方案 2.1 设计总框图 图2.1简易数字计时电路设计总框图

基于51单片机的电子时钟的设计

目录 0 前言 (1) 1 总体方案设计 (2) 2 硬件电路设计 (2) 3 软件设计 (5) 4 调试分析及说明 (7) 5 结论 (9) 参考文献 (9) 课设体会 (10) 附录1 电路原理 (12) 附录2 程序清单 (13)

电子时钟的设计 许山沈阳航空航天大学自动化学院 摘要:传统的数字电子时钟采用了较多的分立元器件,不仅占用了很大的空间而且利用率也比很低,随着系统设计复杂度的不断提高,用传统时钟系统设计方法很难满足设计需求。 单片机是集CPU、RAM、ROM、定时器/计数器和多种接口于一体的微控制器。它体积小、成本低、功能强,广泛应用于智能产品和工业自动化上。而51系列的单片机是各单片机中最为典型和最有代表性的一种。,本次设计提出了系统总体设计方案,并设计了各部分硬件模块和软件流程,在用C语言设计了具体软件程序后,将各个模块完全编译通过过后,结果证明了该设计系统的可行性。该设计给出了以AT89C2051为核心,利用单片机的运算和控制功能,并采用系统化LED显示模块实时显示数字的设计方案,适当地解决了实际生产和日常生活中对计时高精确度的要求,因此该设计在现代社会中具有广泛的应用性。 关键字:AT89C2051,C语言程序,电子钟。 0前言 利用51单片机开发电子时钟,实现时间显示、调整和闹铃功能。具体要求如下: (1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计部分外围电路,并与单片机仿真器、单片机实验箱、电源等正确可靠的连接,给出电路原理图; (3)用仿真器及单片机实验箱进行程序设计与调试;

(4)利用键盘输入调整秒、分和小时时刻,数码管显示时间; (5)实现闹钟功能,在设定的时间给出声音提示。 1总体方案设计 该电子时钟由89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,利用单片机内部定时计数器0通过软件扩展产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。闹钟和时钟的时分秒的调节是由一个按键控制,而另外一个按键控制时钟和闹钟的时间的调节。 图1 系统结构框图 该电子时钟由STC89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,晶振电路的晶振频率为12MHZ,使用的定时器/计数器工作方式0,通过软件扩展产生的一秒定时,达到时分秒的计时,60秒为一分钟,60分钟为一小时,24小时为一天,又重00:00:00开始计时。没有按键按键按下时,时钟正常运行,当按下调节时钟按键K1,就会关闭时钟,当按下闹钟按键K3时时钟就会进入设置时间界面,但是时钟不会停止工作,按K2键,,就可以对时钟和闹钟要设置的时间进行调整。 2硬件电路设计

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

261-简易电子钟论文

专业课程设计 基于单片机的简易电子钟设计 专业课设说明: 本次专业课程设计通过对本专业知识的学习、应用,以STC89C51单片机为核心,辅以必要的电路,设计了一个简易的电子时钟,它由5V直流电源供电,通过数码管能够准确显示时间,调整时间。数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。 本次课设由本人(傅锦城136712117)孙龙龙(136712116)黄宗旭(136712107)为一组共同完成。本人负责搜集简易时钟的设计和制作原理以及所用电路元件的参数资料和使用方法等相关资料。并且负责电路的焊接工作。孙龙龙负责单片机程序的编写。黄宗旭负责电路原理图的绘制和模拟。 1. 系统基本设计思路 此设计是在数码管上显示时、分和秒,电路包括:键盘、单片机及显示驱动电路。 各部分说明: (1)键盘用于校正、调节数码管上显示的时间。 (2)单片机通过输出各种电脉冲信号开驱动控制各部分正常工作。 (3)单片机发送的信号经过显示电路通过译码最终在数码管上显示出来。 (4)按键还可以切换12小时制和24小时制,并有指示灯。 系统工作过程:时间的主要处理过程是在CPU中完成的。CPU会随时对时间进行读取数据的操作。在读取了相应的寄存器的值后,CPU将读取的值进行处理,再通过I/O口把数据显示在数码管上。 2. 单元电路方案 根据设计要求,本系统主要由控制器模块、显示驱动模块和输入模块构成。 2.1 控制器模块 采用51系列作为系统控制器 单片机算术运算功能强,软件编程灵活、自由度大,可用软件编程实现各种算法和逻辑控制。由于其功耗低、体积较小、技术成熟和成本低等优点,在各个领域应用广泛。而且抗干扰性能好。 2.2 计时模块 本方案完全用软件实现数字时钟。原理为:在单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将十字节清零。该方案具有硬件电路简单的特点。但由于每次执行程序时,定时器都要重新赋初值,所以该时钟精度不高。而且,由于是软件实现,当单片机不上电,程序不执行时,时钟将不工作。 2.3 显示模块 采用LED数码管

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数字电子时钟逻辑电路设计

《数字逻辑》 课程设计报告 设计题目:数字电子钟 组员:黄土标黄维超蔡荣达孙清玉 指导老师:麦山 日期:2013/12/27 摘要数字电子钟是一种用数字显示秒、分、时的计时装置,本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支 持手动清零和校正的功能。 关键词数字电子钟;计数器;GAL 4040芯片;M74LS125AF三态门 1设计任务及其工作原理 1.1设计任务 设计一台能显示时,分,秒的数字电子钟。 技术要求: (1)秒、分为00?59六十进制计数器

⑵时为00?23二十四进制计数器 (3)可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入校正。并且可以手动按下脉冲进行清零。 1.2 工作原理 本数字电子钟的设计是根据时、分、秒各个部分的的功能的不同,分别用 GAL16V8D设计成六十进制计数器和用GAL22V10秒的个位,设计成十进制计数器,十位设计成六进制进制计数器(计数从00到59时清零并向前进位)。分部分的设计与秒部分的设计完全相同;时的个位,设计成二进制计数器,十位设计为四进制计数器,当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。 2 电路的组成 2.1计数器部分:利用GAL16V8[和GAL22V1(芯片分别组成二十四进制计数器和六 十进制计数器,它们采用同步连接,利用外接标准脉冲信号进行计数。 2.2显示部分:将三片GAL芯片对应的引脚分别接到实验箱上的七段共阴数码显示管上,根据脉冲的个数显示时间。 3.3 分频器:由于实验箱上提供的时钟脉冲的时间间隔太小,所以使用GAL16V8D 和GAL16V8D 4040芯片和M74LS125AF三态门芯片设计一个分频器,使连续输出脉 冲信号时间间隔为0.5s

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

简易数字钟的设计

第三单元简易数字钟的设计 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无需机械传动等优点。因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数字电子钟,数字钟到处可见。 在数字电路的学习中,已经学习过用计数器芯片搭建数字钟。51单片机内部集成了定时器/计数器,这为构建数字钟带来了方便。在本单元中,学习如何用51单片机来构建一个功能数字钟。 【任务要求】 在6个数码管上显示时、分、秒,共6位数字。 通过单片机内部定时器控制走时,走时准确。 系统有四个按键,功能分别是调整时间,加,减,确定。在按下调整键时候,显示“时”的两位数码管以1 Hz 频率闪烁。如果再次按下调整键,则“分”开始闪 烁,“时”恢复正常显示,依次循环,直到按下确定键,恢复正常的显示。在数码 管闪烁的时候,按下加或者减键可以调整相应的显示内容。按键支持短按和长按, 即短按时,所修改的数字每次增加1或者减小1,长按时候以一定速率连续增加或 者减少10。 【学习知识点】 数码管的原理,驱动程序的实现。 51单片机内部定时器的原理及应用 独立按键的原理及程序的实现。 【内容安排】 第一节:数码管显示原理及应用实现 第二节:独立按键检测原理及应用实现 第三节:计时的原理及实现 第四节:基于定时器的程序改进 第五节:数字钟的构建

第一节数码管显示原理及应用实现 1.1 数码管显示原理 数字钟要把时间显示到数字显示装置上,常用的数字显示装置有数码管、液晶、LED、CRT显示器等。在单片机系统设计中,LED数码管是最基本的显示装置。在数字钟的设计中我们用数码管对中的小时、分和表来进行显示。 LED数码管能显示各种数字或符号,由于它具有显示清晰、亮度高、寿命长、价格低廉等特点,因此使用非常广泛。图1.1是几个数码管的图片:a图为单位数码管, b图为双位数码管,c图为四位数码管。 a 单位数码管 b 双位数码管 c 四位数码管 图1.1 数码管图片 那么数码管是如何的工作呢?还记得我们小时候玩过的“火柴棒游戏”吗,几根火柴组合起来,可以拼成各种各样的图形,数码管实际上就是利用这个原理做成的。 图1.2 单个数码管引脚标号,共阴和共阳的内部连接图

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

电子时钟设计报告

电子时钟设计报告Last revision on 21 December 2020

电子时钟设计报告 1 设计任务与要求 设计任务 用STM32设计一个数字电子钟,采用LCD12864来显示并修改,时间或闹铃。 设计要求 1)显示功能:可显示时间等基本功能。 2)具有闹铃功能。 3)按键改变时间。 4)按键改变闹铃。 5)温度的显示。 2 方案设计与论证 整个系统用stm32单片机作为中央控制器,由单片机执行采集内部RTC 值,时钟信号通过单片机I/O口传给TFT彩屏,单片机模块控制驱动模块驱动显示模块,通过显示模块来实现信号的输出。系统设有按键模块用于对时间进行调整及扩展多个小键盘。

显示电路 方案一:TFT彩屏。显示质量高,没有电磁辐射,可视面积大,应用范围广,画面效果好,数字式接口,“身材”匀称小巧,功耗小。 方案二:数码管动态显示。动态显示,即各位数码管轮流点亮,对于显示器各位数码管,每隔一段延时时间循环点亮一次。利用人的视觉暂留功能可以看到整个显示,但须保证扫描速度足够快,人的视觉暂留功能才可察觉不到字符闪烁。显示器的亮度与导通电流、点亮时间及间隔时间的比例有关。调整参数可以实现较高稳定度的显示。动态显示节省了I/O 口,降低了能耗。 从节省单片机芯片I/O口和降低能耗角度出发,本数字电子钟数码管显示选择设计采用方案一,既TFT彩屏显示。 电源电路 本数字电子钟设计所需电源电压为直流、电压值大小5V的电压源直接用mini USB通过电脑USB接口供电。 按键电路 本数字电子钟设计所需按键用于进行显示时间的调整与设置扩展的小键盘。 单片机芯片4个I/O口可与按键直接相连,通过编程,单片机芯片即可控制按键接口电平的高低,即按键的开与关,以达到用按键进行显示时间的调整与设置扩展的小键盘的设计要求。

简易数字钟的设计

电 子 技 术 课 程 设 计 专业:电气工程及其自动化 学号: 姓名: 指导老师:

简易数字钟的设计 【摘要】本次在对简易数字钟进行设计中,提出了两种整体设计方案,设 计过程中对两种方案不断进行尝试,不断比较,在比较两个方案的优缺点后, 选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各 个模块的结构,再对模块内部进行详细设计。在之后详细设计的时候又根据 可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合并调试。 【关键词】 电路,数字钟,74LS160,子电路 一、引言 随着社会的进步,科技发展的速度越来越快,科技产品更新的频率加大,而且当今很多领域大都用到数字钟,我们身边也遍布与数字钟有关的生活用品。。 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。在做本简易数字钟设计之前,通过老师及查阅资料,我知道有对此多种设计方案 数字电路是我们计算机科学与技术学科的基础,数字电路实验是学习数字电路的一个重要环节,它不仅能巩固理论知识的学习,而其能提高实验动手能力,增强设计和调试电路的能力.设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、设计要求 能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。小时的计时要求为“12翻1”,分和秒的计时要求为60进位。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数字电子钟-完美版

《单片机技术》课程设计说明书 数字电子钟 院、部:电气与信息工程学院 学生姓名: 指导教师:王韧职称副教授 专业:自动化 班级: 完成时间:2013年01月05日

湖南工学院 课程设计任务书 课程:单片机技术 课程设计题目:数字电子钟 数字频率计 数字电压表 交通灯 抢答器 密码锁 波形发生器 数字温度计 计算器 数字式秒表 适用班级:自本1003~4、电子1001~2 电气本1001~2、通信本1001~2 时间: 2012~2013学年第一学期 指导教师:王韧

《单片机技术》课程设计任务书 一、设计题目:数字电子钟、数字频率计、数字电压表、交通灯、抢答器、密码锁、波形发 生器、数字温度计、计算器、数字式秒表。 二、适用班级:电气1001~3 三、指导教师:王韧 四、设计目的与任务: 学生通过理论设计和实物制作解决相应的实际问题,巩固和运用在《单片机技术》中所学的理论知识和实验技能,掌握单片机应用系统的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 五、设计内容与要求 设计内容 1、数字电子钟 设计一个具有特定功能的电子钟。该电子钟上电或按键复位后能自动显示系统提示符“P.”,进入时钟准备状态;第一次按电子钟启动/调整键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟启动/调整键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按启动/调整键再次进入时钟运行状态。 2、数字频率计 设计一个能够测量周期性矩形波信号的频率、周期、脉宽、占空比的频率计。该频率计上电或按键复位后能自动显示系统提示符“P.”,进入测量准备状态。按频率测量键则测量频率;按周期测量键则测量周期;按脉宽测量键则测量脉宽;按占空比测量键则测量占空比。 3、数字电压表 设计一个能够测量直流电压的数字电压表。测量电压范围0~5V,测量精度小数点后两位。该电压表上电或按键复位后能自动显示系统提示符“P.”,进入测量准备状态,按测量开始键则开始测量,并将测量值显示在显示器上,按测量结束键则自动返回“P.”状态。 4、交通灯 设计一个具有特定功能的十字路口交通灯。该交通灯上电或按键复位后能自动显示系统提示符“P.”,进入准备工作状态。按开始键则开始工作,按结束键则返回“P.”状态。要求甲车道和乙车道两条交叉道路上的车辆交替运行,甲车道为主车道,每次通车时间为60秒,乙车道为次车道,每次通车时间为30秒,要求黄灯亮3秒,并且1秒闪烁一次。有应急车辆出现时,红灯全亮,应急车辆通车时间10秒,同时禁止其他车辆通过。 5、抢答器 设计一个具有特定功能的抢答器。该抢答器上电或按键复位后能自动显示系统提示符“P.”,进入准备工作状态。主持人按下开始按钮后,抢答开始并限定时间30S;10S内无人抢答,蜂鸣器发出音响;主持人按下开始按钮之前有人按下抢答器,抢答违规,显示器显示违规台号,违规指示灯亮,其它按钮不起作用;正常抢答,显示器显示台号,蜂鸣器发出音响,其它抢答按钮无效;正常抢答下,从按下抢答按钮开始30S内,答完按钮没按下,则作超时处理,超时处理时,违规指示灯亮,显示器显示违规台号。蜂鸣器发出音响;各台数字显示的消除,蜂鸣器音响及违规指示灯的关断,都要通过主持人按复位按钮。 6、密码锁 设计一个具有特定功能的密码锁。该密码锁上电或按键复位后能自动显示系统提示符

简易数字钟设计

信息与电气工程学院 课程设计说明书(2014 /2015 学年第二学期) 课程名称:单片机课程设计 题目:简易数字钟设计 专业班级: 学生姓名: 学号: 指导教师: 设计周数:2周 设计成绩: 2015年6 月25 日

1、课程设计目的 (1)综合利用所学单片机知识完成一个单片机应用系统设计并在实验室实现,从而加深对单片机软硬知识的理解,获得初步的应用经验。 (2)学习A T89C51定时/计数器的原理及基本应用。 (3)掌握多为数码管动态显示方法。 (4)掌握Keil uVision2 IDE的使用方法。【包括项目文件的建立,给项目添加程序件, 编译、连接项目,形成目标文件,运行调试观察结果,多文件的处理,仿真环境的设置。】 (5)掌握Keil C51的调试技巧。【包括如何设置和删除断点,如何查看和修改寄存器的内容,如何观察和修改变量,如何观察存储器区域,并行口的使用,定时器/计数器的使用,串行口的使用,外中断的使用。】 (6)掌握PROTEUS软件使用过程。 2、简易数字钟的要求及软硬件的分析 2.1简易数字钟的设计要求 利用电子电路构成一个简易数字钟,该数字钟电路主要由C51单片机、4位共阳极数码管、时计数、分计数器、蜂鸣器、LED灯、NPN型和PNP型三极管、按键、若干电阻和导线组成。其中电路系统的分计数器采用60进制,时计数器采用24进制,。译码器显示电路将时、分计数器的输出状态通过三个两位共阳数码管显示出来。整点报时电路根据计时系统的输出状态产生一个脉冲信号,用蜂鸣器输出。相对机械钟而言,数字钟能达到准确计时,并显示小时、分钟,同时通过不同按键的不同功能对该数字钟进行小时和分钟调整,也可通过按键来接她通蜂鸣器来发出响声。 2.2数字钟的软件分析 2.1.1数字钟软件的系统分析 系统的软件设计也是工具系统功能的设计。单片机软件的设计主要包括执行软件(完成各种实质性功能)的设计和监控软件的设计。单片机的软件设计通常要考虑以下几个方面的问题:(1)根据软件功能要求,将系统软件划分为若干个相对独立的部分,设计出合理的总体结构,使软件开发清晰、简洁和流程合理; (2)培养良好的编程风格,如考虑结构化程序设计、实行模块化、子程序化。既便于调试、链接,又便于移植和修改; (3)建立正确的数学模型,通过仿真提高系统的性能,并选取合适的参数;

数字电子时钟1

数字电子时钟表 一题目分析及系统结构划分 本实验要求用MAX+PLUS 11设计一数字电子时钟表,具体要求如下: (1)有完整和准确的记数功能,即能显示从00-00-00到23-59-59。 (2)可对时,分,秒进行调整,有整点报时功能。 为使设计出来的电子表满足MAX+PLUS的要求,需要所设计思路尽可能的清楚,使设计尽可能的简洁,故将系统划分为如下五个模块:状态选择模块---StateSelec;时,分,秒调整和记时模块---Hcount ,Scount,Mcount;Bcd—Led 转换即显示模块---Bcdled;分频模块---Divide;记数与调整模块---Enaselect 这五个模块的连接由下图实现: 二各模块功能描述 (一)Stateselect 设计思想为:由Set信号的上升沿来选择四种工作状态:电子时钟正常工作状态,时调整状态,分调整状态,秒调整状态。当然只有Sel信号的上升沿且Up信号有上升沿到来时,才由Stateselect将选择的状态送下一级模块。即Stateselect 模块可控时钟在上述四种状态的切换,实现为:当Set未被按下时,Setmodel 输出为0,此时时钟工作于正常记时状态;当Set第一次被按下时,Setmodel 输出变为1,且一直维持到Set第四次按下。此时为秒调整状态,当Up信号有上升沿来临时,秒值递加;当Set第二次被按下时,变为分调整状态,当Up信号有上升沿来临时,分值递加;当Set第三次被按下时,变为时调整状态,当Up信号有上升沿来临时,时值递加;当Set第四次按下时,Setmodel输出又变为0,时钟完成调整工作进入正常工作状态。次后当Set再次按下时将重复上述工作状态的转换。 Verilog代码为: module stateselect(set,up,sup,mup,hup,setmode,clk); input set,up,clk; output sup,mup,hup,setmode; reg sup,mup,setmode,hup; reg [1:0]selc; always@(posedge set)

数字电子技术数字时钟设计书

数字电子技术数字时钟设计书一.前言 钟表作为一种定时工具被广泛的使用在生产生活的各方面。人类最初依靠太阳的角度来进行定时,所以受天气的影响比较大,为了克服依靠自然现象定时的缺点人们发明的机器钟表,电子钟表一系列的定时工具。自改革开放以来我国科技得以高速发展,尤其是电子技术的飞速发展。各种各样的电器器材凭空而出。 下面我们就以数字钟为例简单介绍一下。数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,数字电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,生活的各个方面。由于电子钟能提供精确又被广泛的运用在各种测量之中。 二.设计要求 1.设计一个能直接显示“分”、“秒”的数字电子钟,要求60分钟为一计 时周期。 2.电路具有校时(分)功能。 三.设计目的 此次实验设计目的在于培养学生们的操作实践能力。通过对数字时钟原理的学习,增强同学们的理论知识以及思维能力。此次实验设计不单是理论的实现,相反的,更多的在于操作能力的锻炼。通过对数字时钟的实践操作,让同学们从中收获甚多。学会元器件识别、测试和安装的方法,掌握万用表的使用方法,学

会利用软、硬件独立进行电子设备的整机装配、调试方法,并达到产品的质量要求,从而锻炼和提高学生的动手能力,巩固和加深对电子学理论知识的理解和掌握,为以后专业设计、课程设计及毕业设计准备必要的工艺知识和操作技能。培养学生综合运用理论知识解决实际问题的能力。掌握电子线路的基本原理、基本方法,掌握焊接的基本技能,达到焊点大小适中、均匀、圆润、光亮、无虚焊的要求,通过简单电器的安装制作,熟悉电子仪器的安装制作过程和电路的调试及简单故障排除的技能。 四.电路设计方案 多功能数字钟原理框架如图所示,电路包括以下几个部分:标准秒信号发生器、显示电路、分秒计数器、校时电路。

相关主题