搜档网
当前位置:搜档网 › 数字逻辑2013期末复习

数字逻辑2013期末复习

数字逻辑2013期末复习
数字逻辑2013期末复习

数字逻辑 2013期末复习一

一、单项选择题

1、n 个变量可构成( 3 )个最小项。 (1)、2n (2)2n-1 (3)n

2 (4)1

2

-n

2、集电极开路(OC )门电路如下所示,该电路实现的逻辑功能是( 1 )。

(1)DE ABC ? (2)ABCDE (3)DE ABC +(4)DE ABC ?

3、若两个逻辑函数表达式的对偶式F`和G`相等,则逻辑函数F 和G ( 1 )。 (1)相等 (2)不相等 (3)可能等也可能不等 (4)互补

4、为了实现将D 触发器转换为JK 触发器,D 应等于( 1 )。 (1)Q K Q J + (2)Q K JQ ?+ (3)Q K Q J + (4)Q K JQ ?+

5、构成一个6进制加法计数器,至少需要( 2 )个触发器。 (1)2个 (2)3个 (3)4个 (4)5个

6、对同一逻辑门电路,分别使用正逻辑和负逻辑表示输入和输出之间的关系,其表达式( 2 )。 (1) 互为反函数 (2) 互为对偶式 (3)相等 (4) 答案都不对

7、F (A,B,C,D)=AB+CD,它包含的最小项个数是( 3 ) (1) 2个 (2) 4个 (3) 7个 (4) 8个

8、下列编码是有效余三码的是( 3 )。

(1) 1111 (2) 0000 (3) 1010 (4) 0010

9、F(A,B,C,D)=AB+CD ,变量A,B,C 哪个取值组合能使F=1。( 2 ) (1) 0000 (2) 0011 (3) 0101 (4) 1010 10、八进制数(175.236)8的十六制数是( 1 )。 (1)

16

(7.4)D F (2)

16

(7.4)D E (3)

16

(7.4)C F (4)

16

(7.3)D F

11、下列逻辑函数中,与(A+B )(A+C)等价的是( 3 )。 (1) F=AB (2)F=A+B(3) A+BC (4) F= B+C

12、函数F 的卡诺图如图1-1,其最简与或表达式是( 4 )。 (1)D B A D B A F +=D C A + (2)D B A D C A C B A F ++=

(3)D C A D B A C B A F ++=(4)D B A D B A D B A F ++=

13、4:10线译码器,输入信号端有( 4 )个。 (1)10 (2) 2 (3) 3 (4)4

14、用四选一数据选择器实现函数Y=0101A A A A +,应使( 1 )。

(1)D0=D2=0,D1=D3=1 (2)D0=D2=1,D1=D3=0 (3)D0=D1=0,D2=D3=1(4)D0=D1=1,D2=D3=0 15、时序电路中不可缺少的部分为( 2 )。

(1)组合电路 (2) 记忆电路 (3) 同步时钟信号 (4) 组合电路和记忆电路

16、与非门构成的基本RS 触发器,欲使该触发器保持现态,即1n n Q Q +=,则输入信号应为( 2 )。

(1)S=R=0 (2)S=R=1 (3)S=1,R=0 (4)S=0,R=1

17、n 个触发器构成的计数器中,有效状态最多有( 4 )个。

(1)n(2)2n (3)2n-1 (4)2n

18.把一个五进制计数器与一个四进制计数器串联可得到( 4 )进制计数器。 (1)4 (2)5 (3)9 (4)20

19、双向数据总线常采用( 3 )构成。

(1) 数据分配器(2) 数据选择器(3)三态门(4)译码器 20、最小项ABCD 的逻辑相邻项是( 2 )。 (1)ABCD (2)ABCD (3)ABCD (4)ABCD

21、 逻辑函数F (ABC )=A ⊙C 的最小项标准式为( 3 )。 (1)F=∑(0,3)

(2)C A C A F +=

(3)F=m0+m2+m5+m7 (4) F=∑(0,1,6,7)

22、 一个四输入端与非门,使其输出为0的输入变量取值组合有( 4 )种。 (1) 15 (2) 8 (3) 7 (4) 1

23、 设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( 3 )个异或门。

(1)2 (2) 3 (3) 4 (4) 5

图1-1

24、某符号二进制数原码为11010,其补码为( 4 )。 (1) 01010 (2) 11010 (3) 10101 (4) 10110

25、逻辑函数F1=∑m (2,3,4,5, 7,8, 9,10),2F ABC ABCD ABC ABC ACD =++++它们之间的关系是( 4 )。

(1)12F F =(2)12F F =(3)12F F =(4)1F 、2F 互为对偶式

二、填空题(共X 分,共 X 题,每题1 分)

1、无符号二进制数(101101.101111)2的等值十六进制数是( 2D.BC )。

2、逻辑函数D C B A F ++=的反函数F =( )(D C B A F += )。

3、A 和B 都是逻辑变量则A+A+A +B+B+B =( A+B )。

4、已知函数的对偶式BC D C B A F ++='则它的原函数F=( ))(()(C B D C B A F ++?+= )。

5、用n 级触发器构成的计数器,计数容量最多可为( 2n

)。 6、

M GH D C B A F +++=])[(的对偶式是( M H G D BC A F ))((+++= )。

7、逻辑代数的基本运算有(与) 、(或) 、(非) 三种。 8、(1001 1000)8421BCD =( 62 )16

9、有一个27种状态的编码,至少要用( 5 )个触发器来表示。

10、JK 触发器的特性方程为Q n+1

=(n n n Q K Q J Q +=+1) , D 触发器的特性方程为Q n+1

=(D),T 触发器的

特性方程为Q n+1

=(n

Q T ⊕) 。

11、布尔代数的基本规则有代入规则,( 反演规则 )和对偶规则。

12、用卡诺图法化简逻辑函数比布尔代数法更容易得到最简的逻辑函数表达式,缺点是( 变量个数 )受一定的限制。

13、数据分配器是一种单路输入,____多________输出的逻辑构件。

14、组合逻辑电路在结构上不存在输出到输入的___反馈_____,且电路的输出与_历史__输入状态无关。 15、采用一对一法进行状态编码时,10个状态需要用___4___个触发器实现。 16、利用并项法1=+A A ,C B A ABC +的简化表达式为__AC_____________。

19、锁存器或触发器再电路上具有两个稳定的物理状态,我们把输入信号变化之前的状态称为__现态______,输入信号变化后的状态称为___次态_____。

20、用计数器产生110010序列,至少需要____6___个触发器。

三、判断分析题(正确划上“√” ,错误划上“×”)

( × )1、8421BCD 码具有奇偶特性。

( × )2、与无符号二进制数(11001011)2等值的8421BCD 码是(11001011)。 ( √ )3、TTL 与非门电路不用的输入端可以悬空,悬空时,相当于“1”电平。

( √ )4、若触发器的时钟端画了“小圆圈” ,则该触发器状态的转换发生在时钟脉冲的下降沿。

( × )5、D 触发器特性方程

D Q n =+1,这表明在任何情况下,Q 端总是和D 端的状态一样。 ( × )6、逻辑代数中常量1大于常量0 。

( √ )7、F(A,B,C)=∑m (0,1,2,3,4,5,6,7)=1 。

( √ )8、在同一逻辑问题中,最大项Mi 的非等于最小项mi 。 ( × )9、推拉输出电路和OC 电路间可以“线与”连接使用。 ( √ )10、格雷码的特点是任意二个相邻码组间只有一位变化。

四、逻辑函数化简

1、用公式法化简下列函数为最简与或式 (1)D D C C A B A F +?++?=1

D

C A B

D C A B A D C C A B A D D C C A B A F +++=+++?=+++?=+?++?=1

(2)))()((2D B D B C A F +++=

C

B AB B

C A

D B D B C A F +=?+=+++=)())()((2

2、用卡若图化简下列函数为最简与或式

1) F(A,B,C,D)=∑m(3,6,8,9,11,12) + ∑d(0,1,2,13,14,15)

2)

)

15,14,13,12,10,8,7,6,3,2(),,,(3∑=m D C B A F

1

1

10 1 1

1 1 11 1 1 01

1 1 00 10 11 01 00 AB CD

3)D

C B A ABC

D C B D B A CD A C B A F

+++++=

D AC C B A F ++=

五、组合逻辑电路题

1、 根据下列电路列出函数式,并说明电路的逻辑功能。

ABC C ABC B ABC A F ??=

根据函数真值表可知,该电路是一个三数不一致判断电路。

A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0

1

1

10

1 1 1 1 11 1 1 1 1 01 0 0 0 1 00 10 11 01 00 AB CD

2、逻辑电路的输入信号ABC 与输出信号F 的波形如下图所示。①列出逻辑函数F 的真值表;②写出函数的逻辑表达式;③画出相应的电路图。

A

B

C

A

B

C

F

C B A C B A F )(+=+=

3、试用4选1数据选择器设计一个三变量的多数表决电路,设同意用“1”表示,不同意用“0”表示,

表决通过用“1”表示,没有通过用“0”表示。作出真值表,画出电路图。

六、触发器

1、由门和触发器构成的电路如下图所示,设触发器的起始状态为“0”,试根据时钟脉冲CP和A、B的输

入画出Q端的波形。

A B C F 0000 0010 0100 0111

1000 1011 1101 1111

1

1

1

1

1

10

11

01

00

AB

C

S1 S0

D0

D1

D2

D3

Z

1

C F

七、同步时序电路

1、试分析下列同步时序逻辑电路,作出方程组、状态转换表、状态转换图、时序图并说出该电路完成什

么功能。

J1=K1=1,Q1n+1=Q1n

J2=K2=Q1,Q2n+1=Q1n⊕Q2n

Z=Q1Q2

现态次态

Q2n Q1n Q2n+1Q1n+1

0 0 0 1

0 1 1 0

1 0 1 1

1 1 0 0

该电路是一个模4加法计数器。

2、试用JK触发器设计一个“1001”串行序列检测器(可重叠),其输入为X,输出为Z。作出状态转换

图、状态转换表、求出激励方程和输出方程、画出电路图。

00/0 01/0

10/0

11/1

A B C D E

1/0 0/0 0/0 1/1

1/0

0/0

1/0

用隐含表法化简,{A},{B,E},{C},{D}是最大等效类,用B 状态代替{B,E},可得如下最简状态表

状态编码:

A=00,B=11,C=10,D=01。

X

1K 2,Q X 1J 1Q X 2K X,2J =+=+==

八、判断函数D B A ABC BD A F ++=是否可能发生竞争?竞争的结果是否会产生险象?在什么情况下产生险象?若可能产生险象,用增加冗余项的方法消除。

1

121

n 1

2121n 21

2XQ Q )Q (X Q Q )Q (X Q X Q Q Q X Z ++=++==++

从原始卡若图上可以看出电路会产生竞争,并会产生险象,当A=1,C=1,D=1,F=B+B,产生“0”型险象;

当B=1,C=1,D=1,F=A+A,产生“0”型险象;增加冗余项,可消除险象,

D B A ABC BD A F ++= + D C AC D B +

(本资料素材和资料部分来自网络,仅供参考。请预览后才下载,期待您的好评与关注!)

1

10

1 1 1 11 1 1 01 00 10 11 01 00 AB CD 增加冗余项卡若图

1

10

1 1 1 11 1 1 01 00 10 11 01 00 AB CD 原始卡若图

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题1.表示任意两位无符号十进制数至少需要()二进制数。 A .6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。 A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10 相等的是()A.(01101000)2 B. (01001000)2 C.(01110010)2 D. (01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U oLmax =0.5V,最大输入低电平U lLmax =0.8V,最小输出咼电平U oHmi n= 2.7V,最小输入高电平U lHmi n= 2.0V,则其高电平噪声容限U NH=() A.0.3V B.0.6V C.0.7V D.1.2V

5 ?标准或-与式是由()构成的逻辑表达式。 A ?与项相或 B.最小项相或 C.最大项相与 D.或项相与 6.根据反演规则, F A C C DE E的反函数为()。 A. F [AC C(D E)]E B.F AC C(D E)E C. F (AC CD E)E D.F AC C(D E)E 7、对于TTL或非门多余输入端的处理,不可以()( A、接电源 B、通过0.5k Q电阻接地 C、接地 D、与有用输入端并联 8?下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A.与门 B.或门 C.非门 D.与非门 9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门 10.以下电路中可以实现线与功能的有()。 A. 与非门 B.三态输出门 C.传输门 D.漏极开路门 11 ?要使JK触发器在时钟作用下的次态与现态相反, JK端取值应为()。 A. JK=00 B. JK=01 C. JK=10 D. JK=11 12?设计一个四位二进制码的奇偶校验器,需要()个异或门。 A . 2 B. 3 C. 4 D. 5 13.相邻两组编码只有一位不同的编码是() A. 2421BCD码 B.8421BCD码 C.余3 码 D.循环码14?下列电路中,不属于时序逻辑电路的是() A.计数器 B.全加器 C.寄存器 D.RAM

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

2014-2015数字逻辑试卷

数字电路与逻辑设计期末考试样题 一、TO FILL YOUR ANSWERS IN THE “( )”(1’ X 5) 1. An unused CMOS NAND gate input should be tied to logic ( ) or another input. 2. DAC can proportionally convert ( ) input to analog signal output. 512 3. A truth table for a ( ) input, 4-output combinational logic function could be stored in a 4 EPROM. 4. The RCO output of 74X163 is asserted if and only if the enable signal ( )is asserted and the counter is in state …1111?. 5. If the signed-magnitude representation is(001101)2 for one number, then it?s 8-bit two?s complement representation is()2. 二、Single selection problems: there is only one correct answer in the following questions.(2’ X 5) 1、An 8-output demultiplexer has ( ) select inputs. A. 2 B. 3 C. 4 D. 5 2、For a logical function ,which representation as follows is one and only(唯一). ( ) A. logic expression B. logic diagram C. truth table D. timing diagram 3、In general, to complete the same function, compared to a MOORE machine, the MEAL Y machine has ()。 A. more states B. fewer states C. more flip-flops D. fewer flip-flops 4、To design a “1000001” serial sequence generator by shift registers, at least needs a ( ) bit shift register. A. 2 B. 3 C. 4 D.5 5、The following logic expressions is equal, and the hazard-free one is ( ). A. F=B?C?+AC+A?B B. F=A?C?+BC+AB? C. F=A?C?+BC+AB?+A?B D. F=B?C?+AC+A?B+BC+AB?+A?C?

北京邮电大学 数字逻辑期末模拟试题5

C 本科试题(五) 一、选择题(每小题2分,共20分) 1. A 3、A 2、A 1、A 0是四位二进制码,若电路采用奇校验,则校验位C 的逻辑表达式是___________。 A. B. C. D. 2. 要使3:8 线译码器(74LS138)能正常工作,使能控制端的 电平信号应是____________。 A. 001 B. 011 C. 100 D. 111 3. 最小项的逻辑相邻项是___________。 A. B. C. D. 4. 设,则它的非函数是___________。 A. B. C. D. 5. 下列各函数相等,其中无冒险现象的逻辑函数是___________。 A. B. C. D. 6. 为实现将D 触发器转换为T 触发器,图1所示电路的虚线框内应是_________。 a) 或非门 b) 与非门 c) 异或门 d) 同或门 7. 用计数器产生110010序列,至少需要________个触发器。 A. 2 B. 3 C. 4 D. 8 8. 从编程功能讲,E 2PROM 的与阵列________,或阵列________。 A. 固定,可编程 B. 可编程,固定 C. 可编程,可编程 D. 固定,固定 9. 在图 。 10123⊕⊕⊕⊕A A A A 0123A A A A ⊕⊕⊕00123⊕⊕⊕⊕A A A A 0123A A A A +++ B A G G G 221,,D C B A ABC D CD B A D C B A D C B A D C AB F +=D C B A F +?+=)()(D C B A F +?+=)()(D C B A F +?+=D C AB F +=CD C B AC F ++=D AC C B CD F ++=AB BD CD C B AC F ++++=BD D C B CD AC F +++=n n Q Q =+1图1 T A B D

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑模拟试卷

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。 ( ) 5、门电路的扇出是表示输出电压与输入电压之间的关系。 ( ) 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS 晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 2、用卡诺图化简下列函数:(5分) ()()15,14,13,2,1,012,11,10,5,4,3,,,d F Z Y X W += ∑ 3、旅客列车分为特快A ,直快B 和慢车C ,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2) 写出最简的输出逻辑表达式(5分) 4、运用一个MSI 器件实现余3码向8421BCD 码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分)

1)作出状态/输出表(5分)。 2)说明它是Mealy 机还是Moore 机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 7、作“0101”序列检测器的Mealy 型状态表和Moore 型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分) 输入X :1 1 0 1 0 1 0 1 0 0 1 1 输出Z :0 0 0 0 0 1 0 0 0 0 0 0 看下面的例子就清楚了: 某序列检测器有一个输入端x 和一个输出端Z 。输入端 x 输入一串随机的二进制代码,当输入序列中出现011时,输出Z 产生一个1输出,平时Z 输出0 。典型输入、输出序列如下。 输入 x: 1 1 1 1 1 1 1 输出 Z: 1 1 试作出该序列检测器的原始状态图和原始状态表。 解.假定用Mealy 型同步时序逻辑电路实现该序列检测器的逻辑功能。 设: 状态A ------为电路的初始状态。 状态B ------表示收到了序列"011"中的第一个信号"0"。 状态C ------表示收到了序列"011"中的前面两位"01" 。 状态D ------表示收到了序列"011"。 ※ 当电路处在状态A 输入x 为0时,应令输出Z 为0转向状态B ;而处在状态A 输入x 为1时,应令输出Z 为0停留在状态A ,因为输入1不是序列"011"的第一个信号,故不需要记住。该转换关系如图5.16(a )所示。 Q1 Q2

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

西安电子科技大学网教数字逻辑电路模拟题资料

西安电子科技大学网教数字逻辑电路模拟 题

模拟试题一 一、单项选择题(每题 2分,共30分) 1 、下列数中最大的数是 [ ] 。 A ( 3.1 ) H B ( 3.1 ) D C (3.1) O D (11.1) B 2 、( 35.7 ) D 的余 3BCD 是 [ ] 。 A 00110101.0111 B 00111000.1010 C 00111000.0111 D 01101000.1010 3 、与非门的输出完成 F= , 则多余输入端 [ ] 。 A 全部接高电平 B 只需一个接高电平即可 C 全部接地电平 D 只需一个接地即可 4 、逻辑函数 F= + B 的最小项标准式为 [ ] 。 A F= B F= C F= D F= 5 、与 AB + AC +相等的表达式为 [ ] 。 A C B C + C D A + 6 、函数 F=(A + C)(B +) 的反函数是 [ ] 。 A G=( + B) ·+· B G=A + C + B · C G=(A +) · C + B · D G=(A ) ·+ (B+ ) 7 、逻辑函数的逻辑相邻项是 [ ] 。 A A C B A C B D D ABC

8 、已知输入 A 、 B 和输出 F 的波形如图所示, 其 F 与 AB 的逻辑关系为 [ ] 。 A 与非 B 或非 C 异或 D 同或 9 、下列逻辑部件属于时序电路的是 [ ] 。 A 译码器 B 触发器 C 全加器 D 移位寄存器 10 、数据选择器的功能是 [ ] 。 A 将一路输入送至多路输出 B 将输入二进制代码转换为特定信息输出 C 从多路输入选择一路输出 D 考虑低位进位的加法 11 、逻辑函数用卡诺图化简时,八个逻辑相邻项合并可消去 [ ] 。 A 一个变量 B 二个变量 C 三个变量 D 四个变量 12 、 JK 触发器从 0 1, 则激励端 J 、 K 的取值为 [ ] 。 A JK=1X B JK=X0 C JK=X1 D JK=0X 13 、移位寄存器的现态为 0110 ,经过左移一位后,其次态为 [ ] 。 A 0110 或 1011 B 1011 或 1010 C 0110 或 1110 D 1101 或 1100 14 、 4 级触发器组成计数器,组成 13 进制计数器,其无效的状态数为 [ ] 。 A 3 个 B 4 个 C 13 个 D 16 个 15 、 N 级触发器组成环形计数器,其进位模为 [ ] 。 A N B 2N C D 二、填空题(每题 2 分,共 10 分) 1. 格雷码的特征是 ________________ 。 2. F= =________________ 。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分)

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题 1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。A.01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10相等的是( ) A.(01101000)2 B.(01001000)2 C.(01110010)2 D.(01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U OLmax=0.5V,最大输入低电平U ILmax=0.8V,最小输出高电平U OHmin=2.7V,最小输入高电平U IHmin=2.0V,则其高电平噪声容限U NH=( )

A .0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6.根据反演规则,的反函数 为( )。 A. B. C. D. 7、对于TTL 或非门多余输入端的处理,不可以( )。 A 、接电源 B 、通过0.5k Ω电阻接地 C 、接地 D 、与有用输入端并联 8.下列四种类型的逻辑门中,可以用( )实现三种 基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 9. 将D 触发器改造成T 触发器,图1所示电路中的虚 () ()E DE C C A F ++?+=E )]E D (C C [A F ?++=E )E D (C C A F ?++=E )E D C C A (F ? ++=E )(D A F ?++=E C C

2015《数字逻辑设计》期末考试-试题及参考解答

………密………封………线………以………内………答………题………无………效…… 电子科技大学2014 -2015学年第 2 学期期末考试 A 卷 课程名称:数字逻辑设计及应用考试形式:闭卷考试日期:2015年07 月13 日 考试时长:120 分钟 课程成绩构成:平时20/30 %,期中20/30 %,讨论20/0 %,期末40 % 本试卷试题由IV 部分构成,共 4 页。 I. Please fill the correct an swers in the brackets “( )” . ( 4’ X 10 = 40’ ) 1. For an 8-bit DAC (Digital Analog Converter), when the input is 010000002, its output voltage is 1.25V; If the input is 101000002 , the output voltage will be ( 3.125 或等效算式)V. 2. A state transition equation is Q*=JQ’+K’Q. If we use D flip-flop to complete the equation,the input of D flip-flop should be D = ( JQ’ + K’Q). 3. For a counter with N flip-flops, it has at most ( 2n) states. If the counter is Johnson counter, it has ( 2n) valid states. 4. After modification, an N-bit LFSR (Linear Feedback Shift Register) has (2N或2N-1) states. 5. D latch is also called transparent latch, it has two input signal D and C, one output signal Q. The relationship between the output Q and the input D is ( Q*=DC + QC' 或C=1时Q*=D, C=0时Q*=Q ). 6. To build a 64K x 8 ROM, we need ( 16 ) 4K x 8 ROM . 7. Only when both two-bit inputs A(A1,A0) and B(B1,B0) are equal, the output AEQB is 1, so the logic expression of AEQB is ( (A1⊙B1)·(A2⊙B2) 或其他等效表达). 8. State/output table for a sequential circuit is shown as table 1. X is input and Z is output. Assume that the initial state is S0, if the input sequence is X=10110110, the output sequence should be ( 100011108位或9位均算正确). 9. Transition/output table for a sequential circuit is shown in Table 2, X is input and Y is output, the sequential circuit is a modulus ( 4) up/down counter.