搜档网
当前位置:搜档网 › 通信原理设计报告(7_4)汉明码的编解码设计

通信原理设计报告(7_4)汉明码的编解码设计

通信原理设计报告(7_4)汉明码的编解码设计
通信原理设计报告(7_4)汉明码的编解码设计

目录

前言...............................................................1第1章设计要求.................................................3第2章 QuartusⅡ软件介绍.......................................4第3章汉明码的构造原理........................................6 3.1 (7,4)汉明码的构造原理........................................6 3.2 监督矩阵H与生成矩阵G.........................................7 3.3 校正子(伴随式S)..............................................8第4章(7,4)汉明码编码器的设计............................10 4.1 (7,4)汉明码的编码原理及方法.................................10 4.2 (7,4)汉明码编码程序的设计...................................10 4.3 (7,4)汉明码编码程序的编译及仿真.............................11第5章(7,4)汉明码译码器的设计...........................12 5.1 (7,4)汉明码的译码方法......................................12 5.2 (7,4)汉明码译码程序的设计..................................13 5.3 (7,4)汉明码译码程序的编译及仿真............................15第6章(7,4)汉明码编译码器的设计........................17 6.1 (7,4)汉明码编译码器的设计..................................17参考文献.........................................................18体会与建议.......................................................19附录..............................................................20

前言

汉明(Hamming)码是一种能够纠正一位错码或检测两位错码的一种效率较高的线性分组码。本次课程设计的任务就是利用EDA技术在Quartus II软件下用VHDL语言实现(7,4)汉明码的编译码的设计和仿真。从而进一步加深对汉明码编译码原理的理解。

EDA(Electronic Design Automation)技术是随着集成电路和计算机技术飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。目前,VHDL 语言已经成为EDA的关键技术之一,VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级三个不同层次的设计,支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力,因此在实际应用中越来越广泛。

VHDL语言具有功能强大的语言结构,可用明确的代码描述复杂的控制逻辑设计,并且具有多层次的设计描述功能,支持设计库和可重复使用的元件的生成,近几十年来,EDA技术获得了飞速的发展,它以计算机为平台,根据硬件描述语言VHDL,自动地完成逻辑编译,化简分割、综合及优化,布局布线,仿真直至对特定目标芯片的适配编译,逻辑映射和编程下载等工作,以自顶向下的设计方法,使硬件设计软件化,摆脱了传统手工设计的众多缺点,随着EDA技术的深入发展,基于硬件描述语言的方法将由取代传统手工设计方法的趋势。

第1章设计要求

1、采用VHDL语言输入法进行设计;

2、根据(7,4)汉明码的编解码原理,确定编解码器具体设计方案;

3、画出(7,4)汉明码的编解码的程序设计流程图;

4、编写VHDL源程序、调试及仿真时序波形。

第2章QuartusⅡ软件介绍

●QuartusⅡ软件

QuartusⅡ是Altera公司推出的CPLD/FPGA的开发工具,QuartusⅡ提供了完全集成且于电路结构无关的开发环境,具有数字逻辑设计的全部特性。

QuartusⅡ设计软件提供完整的多平台设计环境,可以很轻松地满足特定设计的需要。它是可编程片上系统(SOPC)设计的综合性环境,拥有FPGA和CPLD 设计的所有阶段的解决方案。与其他EDA软件相比较,QuartusⅡ软件的主要特点包括:

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件。

芯片(电路)平面布局连线编辑。

LogicLock增量设计方法,用户建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块、

功能强大的逻辑综合工具。

完备的电路功能仿真与时序逻辑分析、

定时/时序分析与关键路径延时分析。

可使用SignalTapⅡ逻辑分析工具进行嵌入式的逻辑分析。

支持软件源文件的添加和创建,并将它们链接起来生成编程文件。

使用组合编译方式可一次完成整体设计流程。

自动定位编译错误、

高效的器件编程与验证工具。

可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件。

能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

●VHDL语言

VHDL语言主要用于描述数字系统的结构、行为、功能和接口,除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分)和内

部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点如下几点:

(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

第3章 (7,4)汉明码的构造原理

3.1 汉明码的构造原理

线性分组码是一类重要的纠错码,应用很广泛。在(n ,k )分组码中,若

监督码元是按线性关系模2相加而得到的,则称其为线性分组码。

现在以(7,4)分组码为例来说明线性分组码的特点。设其码字为A=[6a ,

012345,,,,,a a a a a a ],前4位是信息元,后3位是监督元,可用下列线性方程组来描述该分组码产生监督元: (式3.1)

显然,这3个方程是线性无关的。代入上述公式可得(7,4)码的全部码组,

如表1所示。

表1 (7,4)汉明码的全部码组

由上表可知:(7,4)汉明码的最小码距0d =3,它能纠1位错或检2位错。

? ? ?

? ? ⊕ ⊕ = ⊕ ⊕ = ⊕ ⊕ = 3 4 6 0

3 5 6 1

4 5 6 2 a

a a a a a a a a a a a

由此可见,汉明码是能够纠正单个错误的线性分组码,其特点是:最小码距0d =3,码长n 与监督位r 满足关系式:n r ≥-12,说明上述所说的(7,4)线性分组码就是汉明码。同时,由于码率n r n r n n k -=-=1)(,故当n 很大和r 很小时,码率接近1,可见:汉明码是一种高效码。

3.2 监督矩阵H 和生成矩阵G ● 监督矩阵H

式(3.1)所示的(7,4)汉明码的监督方程可以改写为:

02456=+++a a a a

01356=+++a a a a (式3.2.1)

00346=+++a a a a

用矩阵的形式可以将上式表示为:

(式3.2.2)

并简记为:T T A H 0=?或0=?A H T

其中,H 成为监督矩阵,只要H 给定,信息位和监督位的关系也就随即确定下来了。H 的行数就是监督矩阵的数目,等于监督数目r 。H 序列可分为两部分:

(式3.2.3)

其中P 为k r ?阶矩阵,r I 为r r ?阶单位阵。

[]r PI H =??

???

?????=001101101011011001110

因为0=?A H T ,所以可以用这个关系式来作为判断接收码字A 是否出错的依据。若0=?A H T ,则说明码字A 有错,反之则说明码字A 无错。

● 生成矩阵G

若将(3.2.1式)的监督方程补充完整并写成矩阵的形式:

(式3.2.4)

即:A=G ·[6a 345a a a ]=G ·M 上式中

G=?????

????

???011....0001101....0010110....0100111. (1000)

(式3.2.5) G 成为生成矩阵,根据式3.2.4知:由G 和信息码就能产生所有码字。生成矩阵也可分为两部分,即

G=[]Q I k , (式3.2.6)

上式中

Q=T P =????

????????011101110111 (式3.2.7)

Q 为r k ?阶矩阵,k I 为k 阶单位阵。

a ?

3.3 校正子(伴随式)S

设一发送码组A=[0121,,...,a a a a n n --],在传输的过程中可能发生误码。接受码组B=[0121,,...,,b b b b n n --],收发码组之差定义为错误图样E 。

E=B-A (式3.3.1)

其中,E=[0121,,...,,e e e e n n --],令S=T H B ?,称为校正子(伴随式)。 T T T H E H E A H B S ?=+=?=)( (式3.3.2) 可见:校正子S 与错误图样E 之间由确定的线性变换关系。 (7,4)汉明码的校正子和错误图样之间的对应关系如表2所示。

表2 (7,4)汉明码S 与E 对应关系

由上表可知:

当S=001时,则出错在0 位,即b0 出错; 当S=010时,则出错在1 位,即b1 出错; 当S=100时,则出错在2 位,即b2 出错; 当S=011时,则出错在3 位,即b3 出错;

当S=101时,则出错在4 位,即b4 出错;当S=110时,则出错在5 位,即b5 出错;当S=111时,则出错在6 位,即b6 出错;当S=000时,则无错。

第4 章 (7,4)汉明码编码器的设计

4.1 (7,4)汉明码编码方法

(7,4)汉明码的编码就是将输入的4 位信息码M=[ 3456a a a a ]加上3 位监督码

012b b b 从而编成7位汉明码[6a 012345,,,,,a a a a a a ],编码输出B=[6a 5a 4a 3a 2a 1a 0a ].由式A = M ·G=[3456a a a a ]·G 可知,信息码M 与生成矩阵G 的乘积就 是编好以后的(7,4)汉明码。

4.2 (7,4)汉明码编码程序设计

根据(7,4)汉明码的编码原理,由于输入的是4 位的信息码M=[0123a a a a ],输出的是7 位的(7,4)汉明码B=[6a 012345,,,,,a a a a a a ]。所以定义4 位输入信息码

0123a a a a 的语句为:port(datain :in std_logic_vector(3 downto 0);编码输出的语句为:port(b:out std_logic_vector(6 downto 0);

再根据(式3.1),即可得到监督位与信息码之间的对应关系,编码输出的表示语句可写成如下形式:

b(2)<=a(3) XOR a(2) XOR a(1); b(1)<=a(3) XOR a(2) XOR a(0); b(0)<=a(3) XOR a(1) XOR a(0);

最后将上式计算所得的监督位和输入的信息位一起输出,则此次编码就算完成了。 (7,4)汉明码的编码源程序见下文附录。

● 编码流程图及打包生成的分立元件

编码程序的设计流程图:

4.3 (7,4)汉明码编码程序的编译及仿真

用QuartusII 进行编译仿真,在编译没有出错的的情况下选择新建波形文件,根据程序验证的需要设置波形,即设置好输入信息码0123a a a a 的初始值,点击“波形仿真”,出现如下波形:

(7,4)汉明码的编码仿真波形 <部分>

编码器分立元件:

从上图的波形中可以看出,datain 输入4 位信息码0000~1111,分别对应编码输出为b,输出了对应的7 位(7,4)汉明码,对照表1 汉明码全部码字,可见本次(7,4)汉明码编码的正确性。

第5 章 (7,4)汉明码的译码器的设计

5.1 (7,4)汉明码译码方法

(7,4)汉明码的译码器的功能就是把输入的7 位汉明码B=[23456b b b b b 01b b ] 译为4位信息码3a 2a 1a 0a ,并且根据伴随矩阵S 从而纠正编码中可能出现的1 位错码。

根据监督矩阵H 和生成矩阵G 的关系,即:

H = [P r I ] ,其中r I 是33?的单位阵, G = [k I Q ] ,其中k I 是44?的单位阵,

T Q P = (式5.1.1) 生成矩阵

G=??

??????????011....0001101....0010110....0100111. (1000)

=[]Q I k , 由式(5.1.1),得P=????

??????101111011110 监督矩阵

由式(3.3.2)知T T T H E H E A H B S ?=+=?=)(,其中E=[0121,,...,,e e e e n n --] 从而即可得到校正子S 与(7,4)汉明码各位之间的关系:

24562a a a a S +++= 13561a a a a S +++= 03460a a a a S +++=

[]r PI H =????

??????=001101101011011001110

算出校正子S (012S S S )后,对照表2,即可判断出哪位出错,并纠正出错的那位,从而输出正确的码字。

5.2 (7,4)汉明码译码程序的设计

根据前面分析的译码原理,由于译码器的输入为7 位汉明码:

B=[23456b b b b b 01b b ]

输出是4 位信息码:0123a a a a ,并且同时输出校正子S 与错误的码位C 。可得:

译码器的7为汉明码输入语句:port(b:in std_logic_vector(6 downto 0); 译码器的信息码输出语句:port(a: out std_logic_vector(3 downto 0); 译码器的校正子输出语句:port(s: out std_logic_vector(2 downto 0); 译码器的错码位置输出语句:port(c: out std_logic_vector(2 downto 0); 译码器的校正子S 与(7,4)汉明码各位之间的关系语句:

sss(2):=b(6) XOR b(5) XOR b(4) XOR b(2); sss(1):=b(6) XOR b(5) XOR b(3) XOR b(1); sss(0):=b(6) XOR b(4) XOR b(3) XOR b(0);

判定校正子S 与0 的关系,可以使用if 语句。如果S 为0,则表示没有错误;如果S 不为0,则表示有一位出错。然后根据表2可以得到校正子S 与错误图样E 之间的关系,再使用case 语句,编写如下程序:

when "001" =>bb(0):= not bb(0);c<="000"; when "010" =>bb(1):= not bb(1);c<="001"; when "100" =>bb(2):= not bb(2);c<="010"; when "011" =>bb(3):= not bb(3);c<="011"; when "101" =>bb(4):= not bb(4);c<="100"; when "110" =>bb(5):= not bb(5);c<="101"; when "111" =>bb(6):= not bb(6);c<="110";

在上述程序中,bb 、sss 是设置的变量。bb 存放的是译码器的7 位汉明码输入

23456b b b b b 01b b 。当S="001",时,表示0b 出错,则只要将这一位的值取反,然后再送给0b 输出,就可以纠正错码了。654321b b b b b b 的纠错原理同0b 。

程序最后的译码输出表示为:a<=bb(6)&bb(5)&bb(4)&bb(3);

在程序中,C 表示错误在哪一位。若第0 位(

a)出错,则C 输出0;若第1 位出错,则C 输出1;……;若无错,则C 输出7。这样译码程序就可以编出来了。译码源程序见附录。

●译码流程图及打包生成的分立元件

译码程序设计的流程图:

译码器分立元件:

5.3 (7,4)汉明码译码程序的编译及仿真

编好程序后,用QuartusII 软件进行编译仿真,设置好输入的7位汉明码为23456b b b b b 01b b ,根据输入求出校正子S ,判断S 是否为零,若为0,则说明无错, 直接输出;若不为0,则根据S 与E 的对应关系判断出出错的那位并纠正之,然后输出纠正后的码字。

(7,4)汉明码的译码仿真波形

在以上波形中可见,a 为译码输入,输入7 位信息码,分别对应译码输出的b 输出了对应的4 位信息码,对照表1可以验证(7,4)汉明码的译码结果是否正确。并且在输入码有单个错码的情况下能纠正错码,纠错情况对应表2。如波形图中输入a 为1000000,输出b 为0000,并且校正子s 为111,错码位置c 为6,表示错码为b6。如波形图中输入a 为0000000,输出b 为0000,并且校正子S 为000,错码位置C 为7,表示无错。

译码器的输入输出情况如下表所示:

表3 (7,4)汉明码译码输入、输出对应关系

第6 章(7,4)汉明码的编译码器的设计

6.1 (7,4)汉明码编译码器的设计

在编码程序和译码程序都验证结果正确以后,为了将编码和译码结合起来,将编码的输出结果送到译码器的输入端,然后由译码器将7 位输入的汉明码译成4 位的信息码。分别对编译码两个程序进行打包,生成编码和译码的打包分立元器件,然后进行连线,形成如下图所示的编译码顶层文件图:

输入端输入的datain[3…0],经过编码后形成(7,4)汉明码的全部码字b[6…0];然后将b[6…0]作为译码器的输入,经译码器输出为b[3…0]、n[2…0]和s[2…0]。b[3…0]的波形结果应该和datain[3…0]的波形结果一致,则说明整个编译码过程是正确的。

参考文献

[1] 《通信原理》樊昌信、曹丽娜,国防工业出版社,2006

[2]《现代通信实验系统的计算机仿真》陈萍,国防工业出版社,2005

体会与建议

历时2周的通信系统课程设计圆满结束了,体会和收获颇多……

这次课程设计我做的是(7,4)汉明码的编解码的设计,刚接到这个题目时,感觉有点迷茫和彷徨,不知道从何入手。尽管上学期刚学的《通信原理》中也讲过线性分组码中的(7,4)汉明码的编解码方法,但是现在课程设计需要借助Quartus II软件的VHDL语言来实现这功能,所以感觉比较麻烦,因为以前学的VHDL基本都遗忘了。然后便是查阅以前的书籍资料,对相关知识进行温习,通过反复温习,终于领会了(7,4)汉明码的编解码的原理、方法和本次课程设计需要实现的基于VHDL的汉明码的编解码方案。顿时觉得豁然开朗,脑海里也有了大致的实施方案。

在本次课题中,(7,4)汉明码的编码相对来说比较容易,程序也就一小段;解码方面相对就比较复杂,用到了很多的变量。但是通过反复实践和观察,最终还是有所突破,并顺利完成了课题要求。

当然,在本次设计中也存在一些问题,比如:仿真的波形毛刺比较大,有些地方也有比较严重的失真,经过后期观察和反复尝试,最终使波形的失真度减到最小。

移动通信原理课程设计-实验报告-

电子科技大学 通信抗干扰技术国家级重点实验室 实验报告 课程名称移动通信原理 实验内容无线信道特性分析; BPSK/QPSK通信链路搭建与误码性能分析; SIMO系统性能仿真分析 课程教师胡苏 成员姓名成员学号成员分工 独立完成必做题第二题,参与选做题SIMO仿 真中的最大比值合并模型设计 参与选做题SIMO仿真中的 等增益合并模型设计 独立完成必做题第一题 参与选做题SIMO仿真中的 选择合并模型设计

1,必做题目 1.1无线信道特性分析 1.1.1实验目的 1)了解无线信道各种衰落特性; 2)掌握各种描述无线信道特性参数的物理意义; 3)利用MATLAB中的仿真工具模拟无线信道的衰落特性。 1.1.2实验内容 1)基于simulink搭建一个QPSK发送链路,QPSK调制信号经过了瑞利衰 落信道,观察信号经过衰落前后的星座图,观察信道特性。仿真参数:信源比特速率为500kbps,多径相对时延为[0 4e-06 8e-06 1.2e-05]秒,相对平均功率为[0 -3 -6 -9]dB,最大多普勒频移为200Hz。例如信道设置如下图所示:

1.1.3实验仿真 (1)实验框图 (2)图表及说明 图一:Before Rayleigh Fading1 #上图为QPSK相位图,由图可以看出2比特码元有四种。

图二:After Rayleigh Fading #从上图可以看出,信号通过瑞利信道后,满足瑞利分布,相位和幅度发生随机变化,所以图三中的相位不是集中在四点,而是在四个点附近随机分布。 图三:Impulse Response #从冲激响应的图可以看出相位在时间上发生了偏移。

通信原理课程设计报告书

通信原理课程设计 题目:脉冲编码调制(PCM)系统设计与仿真 院(系):电气与信息工程学院 班级:电信04-6班 姓名:朱明录 学号: 0402020608 指导教师:赵金宪 教师职称:教授

摘要 : SystemView 仿真软件可以实现多层次的通信系统仿真。脉冲编码调制(PCM )是现 代语音通信中数字化的重要编码方式。利用SystemView 实现脉冲编码调制(PCM)仿真,可以为硬件电路实现提供理论依据。通过仿真展示了PCM 编码实现的设计思路及具体过程,并加以进行分析。 关键词: PCM 编译码 1、引言 随着电子技术和计算机技术的发展,仿真技术得到了广泛的应用。基于信号的用于通信系统的动态仿真软件SystemView 具有强大的功能,可以满足从底层到高层不同层次的设计、分析使用,并且提供了嵌入式的模块分析方法,形成多层系统,使系统设计更加简洁明了,便于完成复杂系统的设计。 SystemView 具有良好的交互界面,通过分析窗口和示波器模拟等方法,提供了一个可视的仿真过程,不仅在工程上得到应用,在教学领域也得到认可,尤其在信号分析、通信系统等领域。其可以实现复杂的模拟、数字及数模混合电路及各种速率系统,并提供了内容丰富的基本库和专业库。 本文主要阐述了如何利用SystemView 实现脉冲编码调制(PCM )。系统的实现通过模块分层实现,模块主要由PCM 编码模块、PCM 译码模块、及逻辑时钟控制信号构成。通过仿真设计电路,分析电路仿真结果,为最终硬件实现提供理论依据。 2、系统介绍 PCM 即脉冲编码调制,在通信系统中完成将语音信号数字化功能。PCM 的实现主要包括三个步骤完成:抽样、量化、编码。分别完成时间上离散、幅度上离散、及量化信号的二进制表示。根据CCITT 的建议,为改善小信号量化性能,采用压扩非均匀量化,有两种建议方式,分别为A 律和μ律方式,我国采用了A 律方式,由于A 律压缩实现复杂,常使用 13 折线法编码,采用非均匀量化PCM 编码示意图见图1。 图1 PCM 原理框图 下面将介绍PCM 编码中抽样、量化及编码的原理: (a) 抽样 所谓抽样,就是对模拟信号进行周期性扫描,把时间上连续的信号变成时间上离散的信号。该模拟信号经过抽样后还应当包含原信号中所有信息,也就是说能无失真的恢复原模拟信号。它的抽样速率的下限是由抽样定理确定的。 (b) 量化 从数学上来看,量化就是把一个连续幅度值的无限数集合映射成一个离散幅度值的有限数集合。如图2所示,量化器Q 输出L 个量化值k y ,k=1,2,3,…,L 。k y 常称为重建电

通信原理课程设计报告书

通信原理课程设计 ______ 学号_______ 班级_____

目录 一、目录 (2) 二、任务书 (3) 三、具体容及要求 (4) 3.1 题目一 (4) 3.1.1题目容 (4) 3.1.2设计思想或方法 (4) 3.1.3实现的功能或方法 (4) 3.1.4程序流程图 (4) 3.1.5程序代码 (5) 3.1.6仿真框图 (5) 3.1.7模块描述及参数设置 (5) 3.1.8结果运行…………………………………………………………… 10 3.1.9结果分析…………………………………………………………… 11 3.2 题目二………………………………………………………………… 11 3.2.1题目容 (11) 3.2.2设计思想或方法…………………………………………………… 11 3.2.2程序流程图 (12) 3.2.4程序代码…………………………………………………………… 13 3.2.5仿真框图…………………………………………………………… 13 3.2.6模块描述及参数设置………………………………………………… 14 3.2.7结果运行…………………………………………………………… 20 3.2.8结果分析…………………………………………………………… 20

3.3 题目三………………………………………………………………… 20 3.3.1题目容 (20) 3.3.2设计思想或方法 (20) 3.2.3程序流程图 (21) 3.2.4程序代码 (21) 3.2.5结果运行 (23) 3.2.6结果分析 (23) 四、心得与体会 (23) 五、参考文献 (23) 《通信原理课程设计》任务书 一、目的和要求: 要求学生在熟练掌握MATLAB和simulink仿真使用的基础上,学会通信仿真系统的基本设计与调试。并结合通信原理的知识,对通信仿真系统进行性能分析。 二、实验环境 PC机、Matlab/Simulink 三、具体容及要求 (1)试用Matlab/Simulink研究BPSK在加性高斯白噪声信道下的误码率性能与信 噪比之间的关系; (2)试用Matlab/Simulink研究BPSK+信道编码(取汉明码)在加性高斯白噪声信 道下的误码率性能与信噪比之间的关系;分析不同码率对误码率性能的影响。 (3)试用Matlab编程实现HDB3码的编解码过程,并画出1 1 0 1 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 1 0的原始、编码和解码图形。 四、提交设计报告

通信原理课程设计

通信原理课程设计 --基于FPGA的时分多路数字基带传输系统的设计与开发 指导老师:戴慧洁武卫华 班级:通信111班 组长:徐震震 组员:胡彬、韦景山、谢留香、 徐勇、周晶晶、张秋红 日期:

一、课程设计目的 通信系统课程设计是一门综合设计性实践课程。使大家在综合已学现代通信系统理论知识的基础上,借助可编程逻辑器件及EDA技术的灵活性和可编程性,充分发挥自主创新意识,在规定时间内完成符合实际需求的通信系统电路设计与调试任务。 它不仅能够提高大家对所学理论知识的理解能力,更重要的是能够提高和挖掘大家对所学知识的实际运用能力,为将来进入社会从事相关工作奠定较好的“能力”基础。 二、课程设计内容 时分多路数字电话基带传输系统的设计与开发 三、课程设计要求任务 1、64Kb/S的A律PCM数字话音编译码器的开发设计 2、PCM 30/32一次群时分复接与分接器的开发设计 3、数字基带编码HDB3编译码器的开发设计 4、同步(帧、位、载波同步(可选))电路的开发设计

四、小组分工 小组成员负责项目 徐震震同步(帧同步、位同步) 谢留香PCM 30/32一次群时分复接 韦景山64Kb/S的A律PCM数字话音编码 胡彬PCM 30/32一次群时分分接 徐勇64Kb/S的A律PCM数字话音译码 周晶晶数字基带编码HDB3译码 张秋红数字基带编码HDB3编码 五、时分多路数字电话基带传输系统框图

PCM编码设计 一、设计要求 1、PCM编码器输入信号为: 一个13位逻辑矢量的均匀量化值:D0,D1…D12 其中:D0为极性位,取值范围在-4096~+4096之间; 一个占空比为1/32的8K/S的取样时钟信号; 一个占空比为50%的2.048Mb/S的合路时钟信号; 2、PCM编码器输出信号为: 一个8位逻辑矢量的13折线非均匀量化值:C0,C1…C7 其中:C0为极性位.C0=1为正,C0=0为负; 一个占空比为1/32的8K/S的取样时钟信号; 一个占空比为50%的2.048Mb/S的合路时钟信号; 二、PCM编码分析 脉冲编码调制(PCM)在通信系统中完成将语音信号数字化功能。是一种对模拟信号数字化的取样技术,将模拟信号变换为数字信号的编码方式,特别是对于音频信号。PCM 对信号每秒钟取样8000 次;每次取样为8个位,总共64kbps。PCM的实现主要包括三个步骤完成:抽样、量化、编码。分别完成时间上离散、幅度上离散、及量化信号的二进制表示。根据CCITT的建议,为改善小信号量化性能,采用压扩非均匀量化,有两种建议方式,分别为A 律和μ律方式,本设计采用了A律方式。 在13折线法中,无论输入信号是正是负,均按8段折线(8个段落)进行编码。若用8位折叠二进制码来表示输入信号的抽样量化值,其中用第一位表示量化值的极性,其余七位(第二位至第八位)则表示抽样量化值的绝对大小。具体的做法是:用第二至第四位表示

汉明码编译码

汉明码编译码 一设计思想 汉明码是一种常用的纠错码,具有纠一位错误的能力。本实验使用Matlab平台,分别用程序语言和simulink来实现汉明码的编译码。用程序语言实现就是从原理层面,通过产生生成矩阵,错误图样,伴随式等一步步进行编译码。用simulink实现是用封装好的汉明码编译码模块进行实例仿真,从而验证程序语言中的编译码和误码性能分析结果。此外,在结合之前信源编码的基础上,还可实现完整通信系统的搭建。 二实现流程 1.汉明码编译码 图 1 汉明码编译码框图 1)根据生成多项式,产生指定的生成矩阵G 2)产生随机的信息序列M 得到码字 3)由C MG 4)进入信道传输 S RH得到伴随式 5)计算=T 6)得到解码码流 7)得到解码信息序列 2.汉明码误码性能分析 误码率(SER)是指传输前后错误比特数占全部比特数的比值。 误帧率(FER)是指传输前后错误码字数占全部码字数的比值。 通过按位比较、按帧比较可以实现误码率和误帧率的统计。

3. 构建完整通信系统 图 2 完整通信系统框图 三 结论分析 1. 汉明码编译码 编写了GUI 界面方便呈现过程和结果。 图 3 汉明码编译码演示GUI 界面 以产生(7,4)汉明码为例说明过程的具体实现。 1) 根据生成多项式,产生指定的生成矩阵G 用[H,G,n,k] = hammgen(3,'D^3+D+1')函数得到系统码形式的校验矩阵H 、G 以及码字长度n 和信息位数k 100101101011100010111H ????=?????? 1 10100001101001 1100101 010001G ????? ?=?? ?? ?? 2) 产生随机的信息序列M 输入信息序列 Huffman 编码 Hamming 编码 信道Hamming 译码 Huffman 译码输出信息序列噪声

通信原理课程设计(1)

通信原理课程设计报告 题目:基于MATLAB 的M-QAM调 制及相干解调的设计与仿真班级:通信工程1411 姓名:杨仕浩(2014111347) 解博文(2014111321) 介子豪(2014111322) 指导老师:罗倩倩 成绩: 日期:2016 年12 月21 日

基于MATLAB的M-QAM调制及相干解调的设计与仿真 摘要:正交幅度调制技术(QAM)是一种功率和带宽相对高效的信道调制技术,因此在自适应信道调制技术中得到了较多应用。本次课程设计主要运用MATLAB软件对M =16 进制正交幅度调制系统进行了仿真,从理论上验证16进制正交幅度调制系统工作原理,为实际应用和科学合理地设计正交幅度调制系统,提供了便捷、高效、直观的重要方法。实验及仿真的结果证明,多进制正交幅度调制解调易于实现,且性能良好,是未来通信技术的主要研究方向之一,并有广阔的应用前景。 关键词:正交幅度调制系统;MATLAB;仿真

目录 1引言 (1) 1.1课程设计的目的 (1) 1.2课程设计的基本任务和要求 (1) 1.3仿真平台Matlab (1) 2 QAM系统的介绍 (2) 2.1正交幅度调制技术 (2) 2.2QAM调制解调原理 (5) 2.3QAM的误码率性能 (7) 3 多进制正交幅度(M-QAM)调制及相干解调原理框图 (9) 4 基于MATLAB的多进制正交幅度(M-QAM)调制及相干解调设计与仿真 (10) 4.1系统设计 (10) 4.2随机信号的生成 (10) 4.3星座图映射 (11) 4.4波形成形(平方根升余弦滤波器) (13) 4.5调制 (14) 4.6加入高斯白噪声之后解调 (15) 5 仿真结果及分析 (20) 6 总结与体会 (23) 6.1总结 (23) 6.2心得体会 (24) 【参考文献】 (25) 附录 (26)

基于MATLAB的(7_4)汉明码编译码设计与仿真结果分析

通信原理课程设计报告书 课题名称 基于MATLAB 的(7,4)汉明码编 译码设计与仿真结果分析 姓 名 学 号 学 院 通信与电子工程学院 专 业 通信工程 指导教师 ※※※※※※※※※ ※ ※ ※※ ※ ※ 2009级通信工程专业 通信原理课程设计

2011年 12月 23日 一、设计任务及要求: 设计任务: 利用MATLAB编程,实现汉明码编译码设计。理解(7,4)汉明码的构造原理,掌握(7,4)汉明码的编码和译码的原理和设计步骤。并对其性能进行分析。要求: 通过MATLAB编程,设计出(7,4)汉明码的编码程序,编码后加入噪声,然后译码,画出信噪比与误比特数和信噪比与误比特率的仿真图,然后对其结果进行分析 指导教师签名: 2011年12月23日 二、指导教师评语: 指导教师签名: 年月日 三、成绩 验收盖章 年月日

基于MATLAB 的(7,4)汉明码编译码设计 与仿真结果分析 1 设计目的 (1)熟悉掌握汉明码的重要公式和基本概念。 (2)利用MATLAB 编程,实现汉明码编译码设计。 (3)理解(7,4)汉明码的构造原理,掌握(7,4)汉明码的编码和译码的原理和设计步骤。 (4)对其仿真结果进行分析。 2 设计要求 (1)通过MATLAB 编程,设计出(7,4)汉明码的编码程序。 (2)编码后加入噪声,然后译码,画出信噪比与误比特数和信噪比与误比特率的仿真图。 (3)然后对其结果进行分析。 3 设计步骤 3.1 线性分组码的一般原理 线性分组码的构造 3.1.1 H 矩阵 根据(7, 4)汉明码可知一般有 现在将上面它改写为 上式中已经将“⊕”简写成“+”。 上式可以表示成如下矩阵形式: ??? ??=⊕⊕⊕=⊕⊕⊕=⊕⊕⊕0 000346 13562456a a a a a a a a a a a a ?? ? ?? =?+?+?+?+?+?+?=?+?+?+?+?+?+?=?+?+?+?+?+?+?010011010010101100010111012345601234560123456a a a a a a a a a a a a a a a a a a a a a (1) (2)

通信原理课程设计报告(基于Matlab)

2DPSK调制与解调系统的仿真 设计原理 (1) 2DPSK信号原理 1.1 2DPSK信号原理 2DPSK方式即是利用前后相邻码元的相对相位值去表示数字信息的一种方式。现假设用Φ表示本码元初相与前一码元初相之差,并规定:Φ=0表示0码,Φ=π表示1码。则数字信息序列与2DPSK信号的码元相位关系可举例表示如2PSK信号是用载波的不同相位直接去表示相应的数字信号而得出的,在接收端只能采用相干解调,它的时域波形图如图2.1所示。 图1.1 2DPSK信号 在这种绝对移相方式中,发送端是采用某一个相位作为基准,所以在系统接收端也必须采用相同的基准相位。如果基准相位发生变化,则在接收端回复的信号将与发送的数字信息完全相反。所以在实际过程中一般不采用绝对移相方式,而采用相对移相方式。定义为本码元初相与前一码元初相之差,假设: →数字信息“0”; →数字信息“1”。 则数字信息序列与2DPSK信号的码元相位关系可举例表示如下: 数字信息: 1 0 1 1 0 1 1 1 0 1 DPSK信号相位:0

或 : 1.2 2DPSK 信号的调制原理 一般来说,2DPSK 信号有两种调试方法,即模拟调制法和键控法。2DPSK 信号的的模拟调制法框图如图1.2.1所示,其中码变换的过程为将输入的单极性不归零码转换为双极性不归零码。 图1.2.1 模拟调制法 2DPSK 信号的的键控调制法框图如图1.2.2所示,其中码变换的过程为将输入的基带信号差分,即变为它的相对码。选相开关作用为当输入为数字信息“0” 时接相位0,当输入数字信息为“1”时接pi 。 图1.2.2 键控法调制原理图 1.3 2DPSK 信号的解调原理 2DPSK 信号最常用的解调方法有两种,一种是极性比较和码变换法,另一种是差分相干解调法。 码变换 相乘 载波 s(t) e o (t)

通信原理实验总结

通信原理实验总结 ——电信0906 经过三次的通信原理课程相关实验的学习,我们班同学都受益菲浅。在此仅代表我们班,我对这三次的实验进行了总结。 首先对三次实验中所学到的知识点做个总结。我们一共做了10个实验:数字基带信号,数字调制,模拟锁相环与载波同步,数字解调与眼图,数字锁相环与位同步,帧同步,时分复用数字基带信号,时分复用2DPSK、2FSK通信系统,PCM编译码,时分复用通话与抽样定理。通过这10个实验,我们在理论和实际应用方面都有了一定的提高,比如在理论方面,通过数字基带信号的实验,我们了解了单极性码、双极性码、归零码、不归零码等基带信号波形特点并掌握AMI、HDB3码的编码规则;通过数字调制的实验,我们掌握绝对码、相对码概念及它们之间的变换关系,掌握了相对波形与2PSK信号波形之间的关系、绝对码波形与2DPSK信号波形之间的关系,并对2ASK、2FSK、2DPSK信号的频谱与数字基带信号频谱之间的关系有了进一步了解。又比如在实际应用方面,通过模拟锁相环与载波同步的实验,我们掌握了用平方环法从2DPSK信号中提取相干载波的原理及模拟锁相环的设计方法;通过PCM编译码,我们掌握了PCM基带信号的形成过程及分接过程,并学会了语音信号PCM 编译码系统的动态范围和频率特性的定义及测量方法。 其次在通信原理实验的学习中,我们班同学在学习方法上也受益颇多。总结如下:一、强化了课前预习的好习惯。大多同学觉得自己一直以来就没能养成课前预习的好习惯(虽然一直认为课前预习是很重要的),但通过这三次实验懂得了课前预习的重要。因为只有在课前充分了解了实验原理,才能在课上更好的学习,收获的更多、掌握的更多。二、培养了勤于动手能力。“实验就是为了让你动手做,去探索一些你未知的或是你尚不是深刻理解的东西。”由于10个实验每个步骤大家都必须亲自去做,亲自去调试,同学们都认为动手能力得到了提高。三、懂得了在探索中求得真知。那些伟大的科学家之所以伟大就是他们利用实验证明了他们的伟大。实验是检验理论正确与否的试金石。为了要使你的理论被人接受,你必须用事实(实验)来证明,让那些怀疑的人哑口无言。虽说我们的通信原理实验基本上都是验证性实验,只是对前人的经典实验的重复,但是对于一个知识尚浅、探索能力还不够的人来说,这些探索也非一件易事。对于这些实验,同学们在探索中学习、在模仿中理解、在实践中掌握。通信原理实验让我慢慢开始“摸着石头过河”。学习就是为了能自我学习,这正是实验课的核心,它让我在探索、自我学习中获得知识。 另外,个人方面,经过这一周的通信原理实验课的学习,让我收获多多。但在这中间,我也发现了自身存在的很多不足。我的动手能力还不够强,当有些实验需要很强的动手能力时我还不能从容应对,往往需要组员的帮助;我的探索方式还有待改善,当面对一些复杂的实验时我还不能很快很好的完成,同时面对每个实验后相关的思考题,我有时也会显得非常棘手。 最后,我们班同学在做完实验后也普遍认为,10个实验均为验证性试验,虽然对知识的理解会有很大帮助,但缺乏创新性。因此建议学校能在通信原理课上适当增加一点创新性实验或让同学在完成一定数量的实验且拥有一定的知识积淀后能有所创新。另外,不少同学也表示,他们是在实验结束后,通过写实验报告才对实验原理有了比较清晰的了解,所以大家也建议学校可以先让学生写预习报告,在对实验原理及过程充分了解后,再完成实验。

通信原理课设-基于Systemview的通信系统的仿真

目录 第1章绪论 (1) 第2章 SystemView的基本介绍 (2) 第3章二进制振幅键控 2ASK (4) 3.1 2ASK调制系统 (4) 3.2 2ASK调制解调系统 (6) 3.3 2ASK系统仿真结果分析 (9) 第四章二进制频移键控 2FSK (10) 4.1 2FSK调制系统 (10) 4.2 2FSK调制解调系统 (12) 4.3 2FSK仿真结果分析 (17) 第5章二进制移相键控 2PSK (18) 5.1 2PSK调制系统 (18) 5.2 2PSK调制解调系统 (19) 5.3 2PSK仿真结果分析 (23) 第6章二进制差分移相键控 2DPSK (24) 6.1 2DPSK实验原理 (24) 6.2 2DPSK仿真结果分析 (29) 第7章实验总结 (30) 第8章参考文献 (30) 第9章谢辞 (32)

第1章绪论 通信按照传统的理解就是信息的传输,信息的传输离不开它的传输工具,通信系统应运而生,我们此次课题的目的就是要对调制解调的通信系统进行仿真研究。 数字信号的传输方式可以分为基带传输和带通传输。为了使信号在带通信道中传输,必须用数字基带信号对载波进行调制,以使信号与信道特性相匹配。在这个过程中就要用到数字调制。 在通信系统中,利用数字信号的离散取值特点通过开关键控载波,来实现数字调制,这种方法通常称为键控法,主要对载波的振幅,频率,和相位进行键控。键控主要分为:振幅键控,频移键控,相移键控三种基本的数字调制方式。 本次课程设计的目的是在学习以上三种调制的基础上,通过Systemview仿真软件,实现对2ASK,2FSK,2PSK,2DPSK等数字调制系统的仿真,同时对以上系统有深入的了解。 Systemview是美国ELANIX公司于1995年开始推出的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。 在此次课程设计之前,先学会熟练掌握Systemview的用法,在该软件的配合下完成各个系统的结构图,还有调试结果图。 Systemview对系统的分析主要分为两大块,调制系统的分析和解调系统的分析。由于调制是解调的基础,没有调制就不可能有解调,为了表现解调系统往往需要很高的采样频率来减少滤波带来的解调失真,所以调制的已调信号通过波形模块观察起来不是很清楚,为了更好的弄清楚调制是怎么样的一个过程,在这里,我们把调制单独列出来,用较低的频率实现它,就能从单个周期上观察调制系统的运作模式,更深刻地表现调制系统的调制过程。

汉明码编译码

汉明码编译码

汉明码编译码 一设计思想 汉明码是一种常用的纠错码,具有纠一位错误的能力。本实验使用Matlab平台,分别用程序语言和simulink来实现汉明码的编译码。用程序语言实现就是从原理层面,通过产生生成矩阵,错误图样,伴随式等一步步进行编译码。用simulink实现是用封装好的汉明码编译码模块进行实例仿真,从而验证程序语言中的编译码和误码性能分析结果。此外,在结合之前信源编码的基础上,还可实现完整通信系统的搭建。 二实现流程 1.汉明码编译码 生成矩阵G 信息序列M 产生码字C 信道 计算伴随式S接收码流R 校验矩阵H 解码码流C2 解码信息序列 M2 图 1 汉明码编译码框图 1)根据生成多项式,产生指定的生成矩阵G 2)产生随机的信息序列M 3)由C MG 得到码字 4)进入信道传输

三 结论分析 1. 汉明码编译码 编写了GUI 界面方便呈现过程和结果。 图 2 汉明码编译码演示GUI 界面 以产生(7,4)汉明码为例说明过程的具体实现。 1) 根据生成多项式,产生指定的生成矩阵G 用[H,G,n,k] = hammgen(3,'D^3+D+1')函数得到系统码形式的校验矩阵H 、G 以及码字长度n 和信息位数k 100101101011100010111H ????=?????? 1 10100001101001 1100101010001G ????? ?=?? ?? ?? 2) 产生随机的信息序列M 0010=01000111M ?? ???? ????

3) 由C MG =得到码字 010001101101000010111C ?? ??=?? ???? 4) 进入信道传输 假设是BSC 信道,错误转移概率设定为0.1 传输后接收端得到的码流为 000011110100000111101R ?? ??=?? ???? 红色表示错误比特。 5) 计算=T S RH 得到伴随式 011=100001S ?? ???? ???? 错误图样 0000001 0000010 0000100 0001000 0010000 0100000 1000000 伴随式 101 111 011 110 001 010 100 查表可知第一行码字错误图样为0100000,第二行码字错误图样为1000000,第三行码字错误图样为0000001。 进行??=+C R E 即可得到纠错解码的码字C2。 6) 得到解码码流 0110100200000001110010C ?? ??=?? ????

通信原理课程设计心得体会

通信原理课程设计心得体会 、时分解复用原理 为了提高信道利用率,使多路已抽样的信号组合起来沿同一信道传输而互相不干扰,称时分多路复用。时分复用的解调过程称为时分解复用。目前采用较多的是频分多路解复用和时分多路解复用。频分多路解复用用于模拟通信,而时分多路解复用用于数字通信。为了实现TDM传输,要把传输时间分成若干个时隙,在每个时隙内传输一路信号,将若干个原始的脉冲调制信号在时间上进行交错排列,从而形成一个复合脉冲串,该脉冲串扰码后经信道传输到达接收端。时分解复用通信,是把各路信号在同一信道上占有不同时间间隙进行通信分离出原来的模拟信号。由抽样定理可知,将时间上离散的信号变成时间上连续的信号,其在信道上占用时间的有限性,为多路信号沿同一信道传输提供了条件。时分解复用是建立在抽样定理的基础上的,因为抽样定理连续的基带信号由可能被在时间上离散出现的抽样脉冲所代替.具体说,就是把时间分成一些均匀的时间间隙,将各路信号的传输时间分配在不同的时间间隙,以达到互相分开,互不干扰的目的。抽样脉冲占据时间一般较短,在抽样脉冲之间就留出间隙.利用这些空隙便可以传输其他信号的抽样,因此,就可能用一条信道同时传送若干个基带信号,并且每一个抽

样值占用的时间越短,能够传输的数据也就越多.时分解复用信号在接收端只要在时间上恰当地进行分离,各个信号就能分别互相分开,互不干扰并不失真地还原出原来的模拟信号。 在通信系统中,同步具有相当重要的地位。通信系统能否具有有效、可靠地工作,在很大程度上依赖有无良好的同步系统。同步可分为载波同步、位同步、帧同步和网同步几大类型。他们在通信系统中都具有相当重要的作用。时分解复用通信中的同步技术包括位同步和帧同步,这是数字通信的又一个重要特点。时分解复用的电路原理就是先通过帧同步信号和位同步信号把各路信号数据分开,然后通过移位寄存器构成的并/串转换电路输出串行的数据,把时分复用的调制信号不失真的分离出来。 位同步 位同步的目的是确定数字通信中的个码元的抽样时刻,即把每个码元加以区分,使接受端得到一连串的码元序列,这一连串的码元列代表一定的信息。位同步是最基本的同步,是实现帧同步的前提。位同步的基本含义是收、发两端机的时钟频率必须同频、同相,这样接收端才能正确接收和判决发送端送来的每一个码元。因此,接收端必须提供一个确定抽样判决时刻的定时脉冲序列.

《通信原理课程设计》

信息工程学院 2014 / 2015学年第一学期 课程设计报告 课程名称:通信原理课程设计 专业班级:统本电信1201 学生学号:12610304152213 12520527151362 学生姓名:陈钰康 夏涛 指导教师:田亚楠

摘要 8PSK(8 Phase Shift Keying,8移相键控)是八进制相移键控,它是一种相位调制算法。相位调制(调相)是频率调制(调频)的一种演变,载波的相位被调整用于把数字信息的比特编码到每一词相位改变(相移)。 8PSK中的“PSK”表示使用移相键控方式,移相键控是调相的一种形式,用于表达一系列离散的状态,8PSK对应8种状态的PSK。如果是其一半的状态,即4种,则为QPSK,如果是其2倍的状态,则为16PSK。因为8PSK拥有8种状态,所以8PSK每个符号(symbol)可以编码3个比特(bits)。8PSK抗链路恶化的能力(抗噪能力)不如QPSK,但提供了更高的数据吞吐容量。本次课程设计过程中,利用了MATLAB7.1仿真实现了8PSK信号的调制与解调,并仿真8PSK载波调制信号在高斯白噪声信道下的误码率及误比特率性能,并用MATLAB仿真出了调制信号、载波信号及已调信号的波形图和频谱图。并在高斯白噪声下,讨论了8PSK 误码率及误比特率性能。 关键字:8PSK;载波的调制;解调;

目录 一.设计内容及要求(PSK信号的仿真) (1) 二.相关理论知识的论述分析 (1) 2. 1.1、8PSK的概念 (1) 2. 1.2、8PSK的特点 (1) 2.2.1、 PSK的调制 (2) 2.2.2、调制的概念 (2) 2.2.3、调制的种类 (2) 2.2.4、调制的作用 (3) 2.2.5、调制方式 (3) 三.系统原理框图及分析(8PSK的原理) (3) 四.完整的设计仿真过程 (4) 五.仿真结果输出及结论 (6) 六.仿真调试中出现的错误、原因及排除方法 (7) 七.总结本次设计,指出设计的核心及应用价值,提出改进意见和展望 (7) 八.收获、体会 (7) 九.参考文献 (8)

通信原理设计报告(7_4)汉明码的编解码设计

目录 前言...............................................................1第1章设计要求.................................................3第2章 QuartusⅡ软件介绍.......................................4第3章汉明码的构造原理........................................6 3.1 (7,4)汉明码的构造原理........................................6 3.2 监督矩阵H与生成矩阵G.........................................7 3.3 校正子(伴随式S)..............................................8第4章(7,4)汉明码编码器的设计............................10 4.1 (7,4)汉明码的编码原理及方法.................................10 4.2 (7,4)汉明码编码程序的设计...................................10 4.3 (7,4)汉明码编码程序的编译及仿真.............................11第5章(7,4)汉明码译码器的设计...........................12 5.1 (7,4)汉明码的译码方法......................................12 5.2 (7,4)汉明码译码程序的设计..................................13 5.3 (7,4)汉明码译码程序的编译及仿真............................15第6章(7,4)汉明码编译码器的设计........................17 6.1 (7,4)汉明码编译码器的设计..................................17参考文献.........................................................18体会与建议.......................................................19附录..............................................................20

奇偶校验-通信原理课程设计心得【模版】

西南科技大学通信原理设计报告 课程名称:通信原理课程设计 设计名称:奇偶校验编码仿真 姓名:王雷 学号: 班级:通信1004 指导教师:秦明伟 起止日期:2013年7月5日星期五 西南科技大学信息工程学院制

方向设计任务书 学生班级:通信1004 学生姓名:王雷学号: 设计名称:奇偶校验编码仿真 起止日期:2013年7月5日星期五指导教师:秦明伟 方向设计学生日志

奇偶校验编码仿真 一、摘要(150-250字) 奇偶校验是一种校验代码传输正确性的方法。根据被传输的一组二进制代码的数位中“1”的个数是奇数或偶数来进行校验。采用奇数的称为奇校验,反之,称为偶校验。采用何种校验是事先规定好的。通常专门设置一个奇偶校验位,用它使这组代码中“1”的个数为奇数或偶数。若用奇校验,则当接收端收到这组代码时,校验“1”的个数是否为奇数,从而确定传输代码的正确性。 二、设计目的和意义 认识matlab软件,学习掌握matlab的基本操作方法,熟悉M文件和simulink的具体实现方法,了解数据奇偶校验的原理和在matlab中的基本仿真,通过对简单的通信实验设计,提高了动手能力和对matlab操作,巩固了课程知识。 三、设计原理 在数据传输前附加一位奇校验位,用来表示传输的数据中"1"的个数是奇数还是偶数,为奇数时,校验位置为"0",否则置为"1",用以保持数据的奇偶性不变。例如,需要传输"11001110",数据中含5个"1",所以其奇校验位为"0",同时把"110011100"传输给接收方,接收方收到数据后再一次计算奇偶性,"110011100"中仍然含有5个"1",所以接收方计算出的奇校验位还是"0",与发送方一致,表示在此次传输过程中未发生错误。奇偶校验就是接收方用来验证发送方在传输过程中所传数据是否由于某些原因造成破坏。 奇偶校验原理是基于异或的逻辑功能。奇偶校验的编码方法是在原信号码组后面添加以为监督码元,奇偶校验分为奇校验和偶校验,奇校验是原信息码元加上监督码元后,使整个组成的数码组中,1的个数为奇数个。偶校验的工作原理则正好与奇校验相反。 对于n位二进码a1a2a3a4……a n奇校验有如下表示: a1⊕a2⊕a3⊕a4……⊕a n⊕C=1 偶校验的表达式为: a1⊕a2⊕a3⊕a4……⊕a n⊕C =1 其中,C为监督码元,在本设计中n为8,可以推出C的表达式为: C =a1⊕a2⊕a3⊕a4……⊕a8 在发送端让其监督码和信息码一起发送,在信息接收端,计算校验因子的表达式为: 、 S=a1⊕a2⊕a3⊕a4……⊕a n⊕C

(7,4)汉明码编译码系统设计.doc

南华大学电气工程学院 《通信原理课程设计》任务书 设计题目:(7, 4)汉明码编译码系统设计 专业:通信工程 学生姓名: 马勇学号:20114400236 起迄日期:2013 年12月20日~2014年1月3日指导教师:宁志刚副教授 系主任:王彦教授

《通信原理课程设计》任务书

《通信原理课程设计》设计说明书格式 一、纸张和页面要求 A4纸打印;页边距要求如下:页边距上下各为2.5 厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 二、说明书装订页码顺序 (1)任务书 (2)论文正文 (3)参考文献,(4)附录 三、课程设计说明书撰写格式 见范例 引言(黑体四号) ☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆(首行缩进两个字,宋体小四号) 1☆☆☆☆(黑体四号) 正文……(首行缩进两个字,宋体小四号) 1.1(空一格)☆☆☆☆☆☆(黑体小四号) 正文……(首行缩进两个字,宋体小四号) 1.2 ☆☆☆☆☆☆、☆☆☆ 正文……(首行缩进两个字,宋体小四号) 2 ☆☆☆☆☆☆ (黑体四号) 正文……(首行缩进两个字,宋体小四号) 2.1 ☆☆☆☆、☆☆☆☆☆☆,☆☆☆(黑体小四号) 正文……(首行缩进两个字,宋体小四号) 2.1.1☆☆☆,☆☆☆☆☆,☆☆☆☆(楷体小四号) 正文……(首行缩进两个字,宋体小四号) (1)……

图1. 工作波形示意图(图题,居中,宋体五号) ………… 5结论(黑体四号) ☆☆☆☆☆☆(首行缩进两个字,宋体小四号) 参考文献(黑体四号、顶格) 参考文献要另起一页,一律放在正文后,不得放在各章之后。只列出作者直接阅读过或在正文中被引用过的文献资料,作者只写到第三位,余者写“等”,英文作者超过3人写“et al”。 几种主要参考文献著录表的格式为: ⑴专(译)著:[序号]著者.书名(译者)[M].出版地:出版者,出版年:起~止页码. ⑵期刊:[序号]著者.篇名[J].刊名,年,卷号(期号):起~止页码. ⑶论文集:[序号]著者.篇名[A]编者.论文集名[C] .出版地:出版者,出版者. 出版年:起~止页码. ⑷学位论文:[序号]著者.题名[D] .保存地:保存单位,授予年. ⑸专利文献:专利所有者.专利题名[P] .专利国别:专利号,出版日期. ⑹标准文献:[序号]标准代号标准顺序号—发布年,标准名称[S] . ⑺报纸:责任者.文献题名[N].报纸名,年—月—日(版次). 附录(居中,黑体四号)

通信原理课程设计对讲机

1任务书 设计并制作一个无线对讲机,要求采用调频方式工作,至少10米以上通话距离。2设计方案选择 方案一:发射试用调频无线送话器,接收采用集成电路KC538,具有中频放大、鉴频和音频功率放大等功能。KC538中频放大器采用三极管差分放大器,故有增益高和调配抑制比较好的特点。 方案二:采用集成电路D1800,它作为收音机接收专业集成电路,功放部分则用D2822电路具有体积小、外围元件少灵敏度极高、性能稳定等优点。 方案选择:综上电路,接收频率和工作电流都在要求范围之内,具有良好的抗干扰能力,经过比较,方案二更具有简洁性,电路布复杂。因此本系统采用方案二设计。 工作原理 该对讲收音机的原理框图如下图所示,分为接收部分和发射部分,发射部分电路采用本级振荡经调制差频后中频发射。接收部分采用相干解调方式放大输出。

接收部分原理:调频信号由TX接收,经C9耦合到IC1的19脚内的混频电路,IC1第1脚内部为本机振荡电路,1脚为本振信号输入端,L4、R6、C10、C11等元件构成本振的调谐回路。在IC1内部混频后的信号经低通滤波器后得到10.7MHz的中频信号,中频信号由IC1的7、8、9脚内电路进行中频放大、检波,7、8、9脚外接的电容为高频滤波电容,此时,中频信号频率仍然是变化的,经过鉴频后变成变化的电压。10脚外接电容为鉴频电路的滤波电容。这个变化的电压就是音频信号,经过静噪的音频信号从14脚输出耦合至12脚内的功放电路,第一次功率放大后的音频信号从11脚输出,经过R10、C25、RP,耦合至IC2进行第二次功率放大,推动扬声器发出声音。 对讲机接收结构框图如下图所示:

通信原理实验报告systemview-数字信号的基带传输

通信原理实验报告 实验名称:数字信号的基带传输 一.实验目的 (1)理解无码间干扰数字基带信号的传输; (2)掌握升余弦滚降滤波器的特性;

(3)通过时域、频域波形分析系统性能。 二、仿真环境 SystemView 仿真软件 三、实验原理 (1)数字基带传输系统的基本结构 它主要由信道信号形成器、信道、接收滤滤器和抽样判决器组成。为了保证系统可靠有序地工作,还应有同步系统。 1.信道信号形成器 把原始基带信号变换成适合于信道传输的基带信号,这种变换主要是通过码型变换和波形变换来实现的。 2.信道 是允许基带信号通过的媒质,通常为有线信道,信道的传输特性通常不满足无失真传输条件,甚至是随机变化的。另外信道还会进入噪声。 3.接收滤波器 滤除带外噪声,对信道特性均衡,使输出的基带波形有利于抽样判决。 4.抽样判决器 在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。而用来抽样的位定时脉冲则依靠同步提取电路从接收信号中提取。 (2) 奈奎斯特第一准则 奈奎斯特准则提出:只要信号经过整形后能够在抽样点保持不变, 即使其波形已经发生了变化,也能够在抽样判决后恢复原始的信号, 因为信息完全恢复携带在抽样点幅度上。 奈奎斯特准则要求在波形成形输入到接收端的滤波器输出的整个 传送过程传递函数满足: 令k′=j -k , 并考虑到k′也为整数,可用k 表示: 在实际应用中,理想低通滤波器是不可能实现的,升余弦滤波器 是在实际中满足无码间干扰传输的充要条件,已获得广泛应用的滤波 器。 升余弦滤波器满足的传递函数为: ???=+-0)(1])[(0或其它常数t T k j h b k j k j ≠=???=+0 1)(0t kT h b 00≠=k k

相关主题