搜档网
当前位置:搜档网 › 数字电路交通灯设计说明

数字电路交通灯设计说明

数字电路交通灯设计说明
数字电路交通灯设计说明

WuYi University

数电课程设计

题目:两路交通灯设计

院系:电子工程系

专业:电子信息工程

班级:电信(2)班

学号: 20094082041

学生:周磊

指导教师:有机

2011年6月

两路交通灯设计

摘要

本文主要对此次数电开放实验进行总结性报告,罗列了电路的电路原理与流程图、硬件结构设计、焊接与调试。对于出现的问题进行解释说明其一系列后续工作的介绍。

Abstract

In this paper, the number of power open to this experiment summary report, a list of the circuit schematic and flow diagram, hardware design, welding and debugging. For the problems to explain a series of follow-up work on its introduction.

1.绪论

交通信号灯与我们的生活紧密相连,设计交通灯不仅具有实用性,还加深了本人对数字电路理论知识的理解。通过这次动手实验,死板的课本知识就融入到动手能力中去了。

《数字电路》是一门发展迅速,实践性很强的电子技术专业基础课程。由于数字电子技术具有很强的灵活性,我们的日常生活已经越来越离不开它了。用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。

由于数字电路技术的逻辑性很强,用它来设计交通灯的控制系统很容易实现。只要数字集成块在一定围输入,都能得到确定的输出,调试起来也比较容,电路的工作状态会比较稳定。

这次的设计就是通过一些基本的数字芯片组合来实现对十字路口交通等的六个不同信号灯的控制,另外还加以倒计时数码管显示。以做到十字路口信号灯的仿真模型,这个电路的设计看似较为复杂,其实就是一些基本的数字电路组成。只要将整个电路的基本方向确定下来,画出电路流程图,在对各项功能进行设计,一步步突破,最后进行整理总结。

2硬件电路结构设计

2.1设计要求及电路流程图

2.1.1设计要求

1.设计时要综合考虑实用、经济并满足性能指标要求;

2.必须独立完成设计课题;

3.合理选用元器件;

4.按时完成设计任务并提交设计报告。

2.1.2电路流程图

根据实际的交通信号灯,设计一个交通灯信号控制器。甲、乙车道交替通行,甲车道每次放行25秒,乙车道放行25秒,绿灯亮表示通行,红灯表示停止。每次绿灯变红时黄灯先亮5秒。该交通灯控制系统的组成框图如下图2-1所示。状态控制器主要记录交通灯的工作状态,通状过状态译码器点亮相应状态的信号灯,秒信号发生器产生整个定时系统的时间脉冲,通过减法计数器对秒脉冲减计数,达到每一种工作状态持续时间。减法计数器的减进位脉冲使状态控制器完成状态转换,同时减进位根据系统下一个工作状态决定下一次减计数的初始值。减法计数器的状态由bcd译码器译码,数码管显示。

图2-1电路流程图

2.2单元电路设计

2.2.1状态控制器设计

根据电路流程图,各信号灯工作顺序流程如2-2图,信号灯四种不同状态分别用S0(甲车道绿灯亮,乙车道红灯亮),S1(甲车道黄灯亮,乙车道还是红灯),S2(甲车道红灯亮,乙车道绿灯亮),S3(甲车道还红灯亮,乙车道黄灯亮)表示,其状态编码及

状态转换图如图2-3所示。

图2-2信号灯流程

图2-3信号灯状态转换图

根据上述流程图和状态转换图,我们可以用一个两位十进制计数器实现,如74160,再用一个3线8线译码器74138译码器与显示电路相连。电路图如下。

图2-4状态译码器电路图

其中74160的CLK接受来自减法计数器的借位输出,74138的Y0、Y1、Y2、Y3去置数和控制信号灯的状态。当减法计数器高位同时出现借位时就会给clk一个脉冲,由于减计数器借位输出为低电平,而160需要高电平触发,所以加一个非门。经过74138译码后控制交通灯的状态变化以及置数的变化,从而控制整个系统,其中74160的QC 端经过一个非门接其置数端,当QC为1时,计数器置数回到0,从而控制电路的状态循环,同时QA,QB的变化经过译码器74138后控制整个电路及交通灯的循环。

74LS160芯片介绍:

74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器 ,管脚图如2-5。只有当 EP、ET 均为高电平时160才能正常工作。CLR为清零端。表

2-1为160功能表

图2-5芯片160管脚图

表2-1芯片160功能表

2.2.2交通等状态显示设计

整个交通灯状态分为四部分,真值表与状态如表2-2。横纵向干道的红、黄、绿信号灯主要由状态控制器输出决定。用1表示灯亮。用0表示灯不亮。

表2-2交通信号灯真值表

由真值表可知各信号灯的逻辑函数表达式为:

G=/Y0

Y=/Y1

R=/Y2+/Y3=/(Y2Y3)

g=/Y2

y=/Y3

r=/Y0+/Y1=/(Y0Y1)

可画出交通灯信号控制图,如图2-6所示。

图2-6显示部分原理图

由于译码器输出是低有效,所以在另一端接+5V高电平。这样就可以很好的控制

微机原理-交通灯课程设计报告

微型计算机原理及应用课程设计说明书 交通灯控制系统设计 班级:1401班 姓名: 学号: 指导教师: 日期:2016年6月

一.课程设计目的: 在车辆日渐增多的今天,人们也越来越关注交通问题,而交通灯在安全行车过程中无疑起着十分重要的作用。现在交通灯一般都设在十字路口,用红、绿、黄三种颜色的指示灯和一个倒计时的显示计时器来控制行车, 对一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点: 1、车道轮流放行时间相对固定, 不能根据实际情况中两个车道的车辆多少来设置改变通行时间;2、没有考虑紧急车辆通过时, 两车道应采取的措施。譬如, 有消防车通过执行紧急任务时, 两个车道的车都应停止, 让紧急车辆通过。因此如何合理高效地利用交通灯指示交通情况,是一个亟需解决的问题。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义 二.课程设计内容: 设有一个十字路口,1、3为南北方向,2、4为东西方向。初始为四个路口的红灯全亮,之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车;延时一段时间后,1、3路口的绿灯开始闪烁,闪烁若干次以后,1、3 路口黄灯亮,后1、3路口红灯亮,而同时2、4路口的绿灯亮,2、4路口方向通车;延时一段时间后,2、4 路口的绿灯熄灭,而绿灯开始闪烁,闪烁若干次以后,1、3路口红灯亮,而2、4路口黄灯亮,再切换到1、3路口方向,之后重复上述过程。 三.问题分析及硬件介绍: 本次课程设计的内容为利用8086、8255等接口,实现控制十二个二极管亮灭的过程。需要PC机一台,8255并口:用做接口芯片。LED:共12个LED灯。还有8086芯片,8253a定时器等器材. 将8086和74273、74154和8255连接起来.需用到8255的六个输出端口。所以要求8255工作在方式0,因为二极管是共阳的,所以输出低电平二极管才会亮。8086用作cpu,三个74273是锁存器,锁存地址。 用软件proteus7.8画出电路图,加载程序到8086cpu,进行模拟。 系统硬件设计

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

交通灯课程设计说明书

华北水利水电学院 院系:机械学院 专业:机械设计制造及其自动化 班级: 59班 学号: 200905918 姓名:杨亚坤 指导老师:雷冀南 2012年5月11日

目录 目录 (2) 2、设计要求 (3) 二、交通管理的方案论证 (3) 三、总体方案设计 (4) 1.系统框图 (4) 2.工作原理 (4) 2.1、正常模式 (4) 3.计时控制方案 (6) 4.显示控制方案 (6) 四、芯片的选择与简介 (6) 3. 80C51单片机的外接晶体引脚 (7) 4. 80C51单片机的控制线 (7) 5. 80C51单片机复位方式 (7) 五系统电路设计 (8) 1.电路原理图 (8) 3.单片机最小系统 (9) 4.显示部分 (10) 6.主程序模块 (10) 7.显示程序模块 (11) 七、结论 (11) 八、参考文献 (12) 附录2:电路原理图 (18) 一、课程设计任务书及计划书

1 、设计目的及任务 《单片机应用基础》课程设计是学好本门课程的又一重要实践性教学环节,课程设计的目的就是配合本课程的教学和平时实验,以达到巩固消化课程的内容,进一步加强综合应用能力及单片机应用系统开发和设计能力的训练,是以培养学生综合运用所学知识的过程,是知识转化为能力和能力转化为工程素质的重要阶段。 课程设计任务:根据给定的模拟交通灯控制任务要求选择合适的单片机和其他电子元器件,进行系统硬件电路设计和软件编程,根据系统制作并调试系统电路板,使之实现任务要求。 2、设计要求 (1)运用所学的知识设计一个交通灯控制系统。 (2)上电的时候南北方向的红灯亮30秒;东西方向的绿灯亮30秒,黄灯秒闪5秒。再接下来东西方向的红灯亮30秒;南北方向的绿灯亮30秒,黄灯秒闪5秒。如此循环。 (3)各种信号灯所亮的时间由数码管显示出来。 (4)外接4个控制按钮,分别实现紧急模式、夜间模式、主干道绿灯支道红灯模式、管理模式。 二、交通管理的方案论证 东西、南北两干道交于一个十字路口,各干道有一组红、黄、绿三色的指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换,且黄灯燃亮时间为东西、南北两干道的公共停车时间。设南北道比东西道的车流量大,指示灯燃亮的方案如表2。 表2说明:

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

基于LabVIEW的交通灯设计说明

虚拟仪器课程设计——基于LabVIEW的交通灯设计 专业: : 学号: 一、课题研究背景和意义 近年来,在快速城市化进程和经济发展的影响下,机动车辆已成为普遍的代

步工具,交通负荷越来越重,交通问题成为困扰许多大城市发展的通病,已成为日趋严峻的国际性问题。其中,十字路口则是造成交通堵塞的主要”瓶颈”。交通信号灯成为了维护交通秩序的必备工具。交通信号灯的使用有效减小了交通拥堵维护交通顺畅。 此次课设的题目的是设计交通信号灯。在设计的过程中了解了交通灯的显示规则,增强了加强交通安全的意识,其次运用已学知识设计信号灯便于更好的掌握labview软件的使用,培养综合应用所学知识来指导实践的能力。 二、程序设计思路 通过采用基于虚拟仪器的交通灯系统设计,自动控制十字路口四组红、黄、绿交通灯和四组人行道暗、绿状态的转变,指挥着行人和车辆的安全运行,实现十字路口的自动指挥和改善交通管理。绿灯亮时,允许车辆和行人通行。红灯亮时,禁止车辆和行人通行,为交通安全提供保障。同时会有时间的显示。 程序采用条件结构,做五个分支,分别对应五种运行模式: 正常运行:在正常运行模式中,再用时钟信号做选择条件做四个分支,实现交通信号的循环变换,控制逻辑顺序如下: (1)南北红和东西绿(30秒); (2)南北红和东西黄(05秒); (3)南北绿和东西红(30秒); (4)南北绿和东西黄(05秒); 东西禁行:东西方向亮红灯禁止通行,南北方向亮绿灯允许通行。 南北禁行:东西方向亮绿灯允许通行,南北方向亮红灯禁止通行。 两向禁行:东西南北方向全亮红灯禁止通行。 检修:把信号灯全部熄灭。 在各运行模式中,每个条件结构里的程序基本相同:用需要点亮的灯与布尔真常量连接,不需要点亮的与布尔假常量连接,而两方向灯的时间显示器则用一定的值减去输入的时间量,以实现倒计时的功能。 2.1前面板的设计 本设计中前面板需要用20盏灯、4个时间显示器、1个菜单下拉列表、1个

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵与秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目就是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流与努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道与支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计内容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3、1 总原理 (2) 3、2 控制电路 (3) 3、3 时钟产生电路 (3) 3、4 显示电路 (4) 3、5 器件 (5) 3、5、1可预置的十进制同步计数器74LS160 (5) 3、5、2 3 线-8 线译码器74LS138 (5) 3、5、3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3、5、4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4、1 通电前检查 (7) 4、2 通电检查 (7) 4、2、1 555电路模块的检查 (7) 4、2、2 CD4511的检查 (7) 4、2、3 74LS192的检查 (8) 4、2、4 控制电路及相关门电路的检查 (8) 4、2、5 发光二极管的检查 (9) 4、3 结果分析 (9) 5 设计总结 (10) 5、1 体会 (10) 5、2 设计电路的特点与方案的优缺点 (11) 5、3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ.................................................................. 错误!未定义书签。

数字电路交通灯设计说明

WuYi University 数电课程设计 题目:两路交通灯设计 院系:电子工程系 专业:电子信息工程 班级:电信(2)班 学号: 20094082041 学生:周磊 指导教师:有机

2011年6月 两路交通灯设计 摘要 本文主要对此次数电开放实验进行总结性报告,罗列了电路的电路原理与流程图、硬件结构设计、焊接与调试。对于出现的问题进行解释说明其一系列后续工作的介绍。 Abstract In this paper, the number of power open to this experiment summary report, a list of the circuit schematic and flow diagram, hardware design, welding and debugging. For the problems to explain a series of follow-up work on its introduction. 1.绪论 交通信号灯与我们的生活紧密相连,设计交通灯不仅具有实用性,还加深了本人对数字电路理论知识的理解。通过这次动手实验,死板的课本知识就融入到动手能力中去了。 《数字电路》是一门发展迅速,实践性很强的电子技术专业基础课程。由于数字电子技术具有很强的灵活性,我们的日常生活已经越来越离不开它了。用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 由于数字电路技术的逻辑性很强,用它来设计交通灯的控制系统很容易实现。只要数字集成块在一定围输入,都能得到确定的输出,调试起来也比较容,电路的工作状态会比较稳定。 这次的设计就是通过一些基本的数字芯片组合来实现对十字路口交通等的六个不同信号灯的控制,另外还加以倒计时数码管显示。以做到十字路口信号灯的仿真模型,这个电路的设计看似较为复杂,其实就是一些基本的数字电路组成。只要将整个电路的基本方向确定下来,画出电路流程图,在对各项功能进行设计,一步步突破,最后进行整理总结。

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

基于数字电路的交通灯控制系统毕业设计.

目录 1引言 (1) 2设计目的和要求 (2) 3设计方案的比较和选定 (3) 4系统方框图 (4) 5 电路工作原理 (5) 6 电路设计 (6) 6.1 555定时器构成的秒脉冲信号发生器 (6) 6.1.1 555定时器的引脚 (6) 6.1.2 555定时器工作原理 (7) 6.1.3 秒脉冲信号发生器 (8) 6.2 定时器电路 (8) 6.2.1 定时器的作用 (8) 6.2.2 定时器的构成 (9) 6.3 控制器电路 (11) 6.4 译码器 (12) 7系统总电路图 (15) 8 总结 (16) 参考文献 (17) 致谢 (18) 附录 (20)

摘要 随着社会不断的发展,人民的生活水平不断的提高,汽车已经成为人们生活必不可少的一部分,伴随而来的是日趋复杂的交通问题。日趋复杂的交通指挥系统仅靠人力来完成是远远不够的,这就需要设计一个简单有效的交通灯控制系统来完成这些复杂的工作,从而使城市交通系统有序,安全地运行。交通灯控制系统的出现是社会发展下的必然产物,在城市道路系统中占有举足轻重的地位,它直接影响到城市的通车质量。所以,一个简单有效的交通灯控制系统对一个城市的发展具有巨大的意义。本电路也正是基于前人设计的基础上进行改进,全部由数字电路组成,比以前的方案更为精确。 关键词:汽车;交通问题;交通灯控制系统;发展;数电

Abstract With the development of the society, people's living standard continues to improve, the automobile has been an integral part of people's life, together with the increasingly complex traffic problem. It is far from enough to manage the increasingly complex command system just depend on human, it requires a simple but effective traffic lights command system to complete these complex work, which makes the urban transport system work in order and safety. So, a simple but effective traffic lights command system means a lot to the growth of a city . Therefore, many designer have worked out a lot of programs, most are traffic lights. This circuit develop on the predecessors’programs, all made up of digital circuit, which is more accurate than forever. Key words: automobile; traffic problem; traffic lights command system; develop; digital circuit

数字电路基础 交通灯课程设计报告

西安邮电学院 数字电路课程设计报告书 ——交通灯控制器 学院名称:自动化学院 学生姓名:卢小琦(12) 专业名称:自动化 班级:0801 实习时间:2010年6月21日—2010年7月2日

一、设计题目:交通灯控制器 交通灯控制器是可以自动控制交通灯,并以倒计时的方式显示出时间,方便行人和车辆在通行时有条不紊的通行,达到交通井然有序,出行人员安全快捷的到达目的地的效果。本次实验的就是想通过这样的一个实例,来结合数字电路课程的学习共同实现这样的一个应用工具,达到理论和实践相结合的目的。 二、设计要求: 设计一个十字路口控制交通秩序的交通灯,满足以下条件: 显示顺序为其中一组方向是绿、黄、红;另一方向是红、绿、黄。 设置一组数码管以倒计时的方式显示语序通行或禁止通行时间,其中支通道绿灯的时间是20s,另一个方向上主通道的绿灯亮的时间是30s,黄灯亮的时间都是5s. 选做:当任何一个方向出现特殊情况,按下手动开关,其中一个方向通行,倒计时停止,当特殊情况结束后,按下自动控制开关恢复正常状态。 三、设计思路概述: 1.任务分析: 交通灯控制器主要实现两部分功能: ①东西、南北双向通路的红、绿、黄灯控制; ②东西向主通路的倒计时显示。 另外,在此设计中还实现了紧急情况下的强制中断功能。 2.实现方案:

实现这个交通灯控制器可以采用PLD 、FPGA 、单片机等可编程器件或基本数字逻辑器件实现。在这次实验中采用74系列数字集成芯片和555时基芯片实现相应功能,在本报告的最后附有用Atmel 公司89C52实现同样功能的电路设计图及C51程序。 3.具体功能分析: 此电路为十字路口交通灯控制电路,要求东西向和南北向不能同时出现绿(黄)灯,发生“撞车”现象。即当某一方向为绿灯或黄灯时,另一方向必为红灯。东西向主通路有倒计时显示。 设计时序如下: 东西向 南北向 4.电路框图设计: 说明:脉冲输出部分为555时基芯片构成的多次谐波振荡器,由其产生周期为1s 的时钟脉冲信号。计时控制部分主要由两片74LS161(十六进制同步加法计数器)、74LS74(边沿D 触发器)及逻辑门电路构成。产生30s 、20s 和5s 的倒计时信号。彩灯控制部分将计时控制部分输出的信号通过逻辑门电路及74LS139(双2—4线译码器)产生控制信号,控制彩灯按照响应时序显示,并将74LS139的输出

智能交通灯控制器的设计报告

2012年电子技术课程设计说明书题目:7 智能交通灯控制器的设计(A) 学生姓名:张鲜艳 学号: 0407 院(系):电气与信息工程学院 专业:自动化 指导教师:辛登科 2012 年 12 月 4日

目录 74LS08、74LS32、74LS04简要说明....................... 错误!未定义书签。 CD4511简要说明....................................... 错误!未定义书签。 4 74HC190 简要说明................................... 错误!未定义书签。 5 元器件清单............................................. 错误!未定义书签。 6 调试过程及测试数据(或者仿真结果)..................... 错误!未定义书签。 通电前检查........................................... 错误!未定义书签。 通电检查............................................. 错误!未定义书签。 按钮开关的检查................................... 错误!未定义书签。 CD45111模块的调试............................... 错误!未定义书签。 NE555单元电路的调试............................. 错误!未定义书签。 74LS04非门的调试................................. 错误!未定义书签。 74LS32非门的调试................................. 错误!未定义书签。 发光二极管的调试................................. 错误!未定义书签。 结果分析............................................. 错误!未定义书签。 7 小结:................................................. 错误!未定义书签。 8 设计体会及今后的改进意见............................... 错误!未定义书签。 体会................................................. 错误!未定义书签。 本方案特点及存在的问题............................... 错误!未定义书签。 改进意见............................................. 错误!未定义书签。

根据数字电子技术的交通灯设计

目录 1 选题背景 (2) 1.1 指导思想 (2) 1.2 方案论证 (2) 1.3 基本设计任务 (3) 1.4 发挥设计任务 (4) 1.5电路特点 (4) 2电路设计 (4) 2.2 工作原理 (4) 3 各部分电路的仿真 (8) 4电路设计实物的焊接与调试 (9) 4.1调试时使用的仪器与仪表 (9) 4.2电路板上实物的焊接与调试 (9) 4.3 电路调试的过程。 (9) 5 小结 (9) 6 设计体会及改进意见 (10) 6.1 体会 (10) 6.2 本方案特点及存在问题 (10) 6.3改进意见 (10) 参考文献 (11) 附录Ⅰ 附录Ⅱ

正文 1 选题背景 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 1.1指导思想 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 1.2 方案论证 在本次任务中我设计了两个方案,方案框图分别为下图1—1和1—2 图1—1 设计方案一原理框图

数字逻辑电路红绿灯课程设计实验报告

题目:红绿灯控制器 指导教师:莫琳 设计人员(学号):谭晨曦(1107200144)班级:电信类111班 日期:2013年5月25日

目录一.设计任务书 二.设计框图及整机概述 三.各单元电路的设计方案及原理说明 四.调试过程及结果分析 五.设计、安装及调试中的体会 六.对本次课程设计的意见及建议 七.附录(包括:整机逻辑电路图和元器件清单)

一、设计任务书 基本设计要求:EWB仿真实现,设计一个红绿灯控制器控制器设计应具有以下功能: (1)东西方向绿灯亮,南北方向红灯亮。. (2)东西方向黄灯亮,南北方向红灯亮。 (3)东西方向红灯亮,南北方向绿灯亮。 (4 ) 东西方向红灯亮,南北方向黄灯亮。 要求有时间显示的(顺数、逆数皆可)时间自定(按学号:红灯时间(学号)=绿灯时间+黄灯时间(≥5)。 二.设计框图及整机概述 设计框图: 电源计数器数码显示 脉冲控制红绿灯 红绿灯控制器设计框图

整机概述: 红绿灯控制器由电源模块、脉冲模块、计数模块、控制模块、红绿灯模块以及数码显示模块共六部分组成。电源模块为整机提供电源;脉冲模块提供给计数器作为时钟信号;计数模块为44进制计数器,分别输出到数码显示模块和控制模块作为数码显示信号和控制信号;数码显示模块接收来自计数器模块的信号,表明计数器工作状态;控制模块接收计数器模块输出的控制信号从而控制红绿灯的亮灭;红绿灯模块的亮灭情况见设计任务书。 三、各单元电路的设计方案及原理说明 电源模块:外接,略。 脉冲模块:外接,略。 计数模块:

计数模块内两个计数器(74LS160)CLK外接时钟脉冲信号,A、B、C、D接地,LOAD接高电平。低位计数器(左)的进位信号通过RCO输送至高位计数器(右),使高位计数器仅在有进位时工作。因为我的学号为44,按照任务书要求,再通过将两个计数器的Q c分别接至与非门,以与非门的输出信号作为两个计数器的置零信号,至此,一个四十四进制计数器完成。 控制模块: 控制模块根据以下设计表格,通过四进制计数表示四个时间段内灯的亮灭情况从而控制红绿灯模块,以计数器模块输出的控制信号作为脉冲输入。 控制输出端东西方向南北方向 Q B Q A红黄绿红黄绿 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵和秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流和努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道和支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3.1 总原理 (2) 3.2 控制电路 (3) 3.3 时钟产生电路 (3) 3.4 显示电路 (4) 3.5 器件 (5) 3.5.1可预置的十进制同步计数器74LS160 (5) 3.5.2 3 线-8 线译码器74LS138 (5) 3.5.3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3.5.4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4.1 通电前检查 (7) 4.2 通电检查 (7) 4.2.1 555电路模块的检查 (7) 4.2.2 CD4511的检查 (7) 4.2.3 74LS192的检查 (8) 4.2.4 控制电路及相关门电路的检查 (8) 4.2.5 发光二极管的检查 (9) 4.3 结果分析 (9) 5 设计总结 (10) 5.1 体会 (10) 5.2 设计电路的特点和方案的优缺点 (11) 5.3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ ........................................................... 错误!未定义书签。

基于数字电路的交通灯设计

电子技术课程设计报告课程名称电子技术课程设计 设计题目交通信号灯控制器 所学专业名称电子信息工程 班级电信1班 学号2013210xxx 学生姓名张XX 指导教师XXX 2015年6月1日

电子技术课程设计 任务书 设计(论文)名称:交通信号灯控制器 系(部)、专业:电气学院电子信息工程学生姓名:张XX 指导教师:XXX下达时间:2015年5月25日 一、课程设计应达到的目的: 设计 ①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条 交叉道路上的车辆交替运行,每次通行时间25秒; ②要求黄灯先亮5秒,才能变换运行车道; ③黄灯亮时要求每秒闪亮一次。 二、课程设计任务和基本要求 设计任务: ①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条 交叉道路上的车辆交替运行,每次通行时间25秒; ②要求黄灯先亮5秒,才能变换运行车道; ③黄灯亮时要求每秒闪亮一次。 基本要求: ①用交通灯控制十字路口的车辆通行,绿灯通行,黄灯缓行,红灯停止,每次通行25秒,则要求绿灯每次亮25秒。 ②绿灯亮25秒后变成黄灯,黄灯再亮5秒,当甲车道亮绿灯时,乙车道红灯亮,那么当甲车道亮黄灯时,车辆缓行,此时乙车道不能通行,故亮红灯,所以红灯亮30秒。 ③黄灯闪亮,只需将黄灯的控制信号与时间的秒信号相与即可。 目录

交通信号灯控制器 摘要: 在日常生活中,交通灯作为管理交通、调协车辆的一个便捷的手段,起着很大的作用。各种交通工具、行人都要根据交通灯的变化来决定是否前行,通行的时间的规定协调了它们的步伐,极大的减少了由于交通混乱引起的各种事故的发生。因此,一个完善的交通系统中,交通灯是必不可少的设备,一个完善的交通灯程序会更有效的管理当前道路中出现的实际情况,使车辆、行人的行进变得更顺畅、更和谐。 第一章设计电路 设计任务与要求 ①设计一个十字路口交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间25秒; ②要求黄灯先亮5秒,才能变换运行车道; ③黄灯亮时要求每秒闪亮一次。 设计分析 ①用交通灯控制十字路口的车辆通行,绿灯通行,黄灯缓行,红灯停止,每次通行25秒,则要求绿灯每次亮25秒。 ②绿灯亮25秒后变成黄灯,黄灯再亮5秒,当甲车道亮绿灯时,乙车道红灯亮,那么当甲车道亮黄灯时,车辆缓行,此时乙车道不能通行,故亮红灯,所以红灯亮30秒。 ③黄灯闪亮,只需将黄灯的控制信号与时间的秒信号相与即可。

相关主题