搜档网
当前位置:搜档网 › LA76933各脚功能表

LA76933各脚功能表

LA76933各脚功能表
LA76933各脚功能表

LA76933各脚功能表

引脚符号功能电压 (V)

有信号.无信号

1. SIF 伴音中频信号输出

2.3 2.2

2. IF AGC 中放AGC滤波检波 2.5 2.3

3. SIF 伴音中频输入 3.3 3.3

4. FM 调频检波滤波器 2.2 2.2

5. FM.OUT FM输出 2.2 2.2

6.VOL.OUT 音频输出 2.3 2.2

7.SND APC 伴音中频解调APC滤波器 2.3 0.6

8. IF VCC 中频电路+5V电源供电 5.0 5.0

9.AUDIO IN 外部音频输入 1.8 1.8

10. ABL 自动束电流输入 3.8 3.8

11.RGB VCC RGB矩阵电路供电 8.3 8.3

12. R OUT R基色信号输出 2.5 2.5

13. G OUT G基色信号输出 2.5 2.4

14. B OUT B基色信号输出 2.5 2.4

15. N.C 不连接 0 0

16.V.RANP 场锯齿波电压形成端 1.9 1.9

17.VDR.OUT 场激励脉冲输出 2.3 2.3

18. VCO 行振荡基准电流设置端 1.7 1.7

19. VCC 行启动和行电路供电 5.1 5.2

20. AFC AFC环路滤波 28 2.7

21.HDP.OUT 行激励脉冲输出 0.5 0.5

22. GND 视频/色度/偏转地 0 0

23.INTO/POO 不连接 0 0

24.INT1/PO1 不连接 0 0

25. PO2 SVHS控制 5.0 5.0

26.INT3/PO3 红外遥控信号输入 4.9 4.9

27. AV2 AV1 外部接口输入 0 0

28. AV2 AV1 外部接口输入 0 0

29. P17 不连接 0 0

30. MUTE 静音控制信号输出 0 0

31. SDA I2C总线串行数据输出/输入 4.8 4.8

32. SCL I2C总线串行时钟输出 4.8 4.8

33. XT1.XT2 时钟振荡器 0.02 0.02

34. XT1.XT2 时钟振荡器 2.0 2.0

35.CPU VCC CPU电源端 5.0 5.0

36. POWER 开/关机控制 5.0 5.0 ;

37. -- 空 4.9 4.9

38. AGC 自动增益控制 2.1 4.4

39. KEY 本机键控信号输入 4.9 4.9

40. RESET RESET(复位) 5.1 5.1

41. PLL 色相位PLL环路滤波 3.2 3.2

42. CPU GND CPU接地 0 0

43. CDD VCC 色度,行延迟线电源供电 5.1 5.1

44.FBP INPUT 逆程脉冲输入 0.8 0.9

45. Y/C Y/C模式色度C输入 2.2 2.2

46. Y/C Y/C模式色度Y输入 2.5 2.5

47. DDS DDS滤波器 2.7 2.7

48. Y CBCR Y CBCR模式Y输入 2.5 2.5

49. Y CBCR Y CBCR模式CB输入 1.9 1.9

50. 4.43晶体 4.43MHz晶振输入 2.6 2.6

51. Y CBCR Y CBCR模式CR输入 1.9 1.9

52. SVO/FSC 内部开关选通视频信号输出 2.4 2.5

53. APC 色度APC滤波器 2.8 2.8

54. EXT-V 外部视频输入 2.5 2.5

55.VCD VCC5V 视频,亮度电路供电 4.9 4.9

56.INT-V INT,视频输入 2.5 2.5

57.BLACK 黑电平检波滤波 2.9 2.9

58. PIF APC 图象载频锁相环滤波 2.4 2.0

59. AFT.OUT AFC输出 1.9 4.8

60.VIDEO.OUT 视频输出 2.5 3.3

61. RF AGC RF AGC输出 1.9 4.4

62. IF.GND IF接地端 0 0

63. PIF.IN 图象中频信号平衡输入 2.9 2.9

64. 64. PIF.AMP 图象中频信号平衡输入 2.9 2.9

元器件封装及基本管脚定义说明(精)知识讲解

元器件封装及基本管脚定义说明 以下收录说明的元件为常规元件 A: 零件封装是指实际零件焊接到电路板时所指示的外观和焊点的位置。包括了实际元件的外型尺寸,所占空间位置,各管脚之间的间距等,是纯粹的空间概念。因此不同的元件可共用同一零件封装,同种元件也可有不同的零件封装. 普通的元件封装有针脚式封装(DIP与表面贴片式封装(SMD两大类. (像电阻,有传统的针脚式,这种元件体积较大,电路板必须钻孔才能安置元件,完成钻孔后,插入元件,再过锡炉或喷锡(也可手焊),成本较高,较新的设计都是采用体积小的表面贴片式元件(SMD )这种元件不必钻孔,用钢膜将半熔状锡膏倒入电路板,再把SMD 元件放上,即可焊接在电路板上了。 元件按电气性能分类为:电阻, 电容(有极性, 无极性, 电感, 晶体管(二极管, 三极管, 集成电路IC, 端口(输入输出端口, 连接器, 插槽, 开关系列, 晶振,OTHER(显示器件, 蜂鸣器, 传感器, 扬声器, 受话器 1. 电阻: I.直插式 [1/20W 1/16W 1/10W 1/8W 1/4W] AXIAL0.3 0.4 II. 贴片式 [0201 0402 0603 0805 1206] 贴片电阻 0603表示的是封装尺寸与具体阻值没有关系 但封装尺寸与功率有关通常来说 0201 1/20W 0402 1/16W 0603 1/10W

0805 1/8W 1206 1/4W 电容电阻外形尺寸与封装的对应关系是: 0402=1.0x0.5 0603=1.6x0.8 0805=2.0x1.2 1206=3.2x1.6 1210=3.2x2.5 1812=4.5x3.2 2225=5.6x6.5 III. 整合式 [0402 0603 4合一或8合一排阻] IIII. 可调式[VR1~VR5] 2. 电容: I.无极性电容[0402 0603 0805 1206 1210 1812 2225] II. 有极性电容分两种: 电解电容 [一般为铝电解电容, 分为DIP 与SMD 两种] 钽电容 [为SMD 型: A TYPE (3216 10V B TYPE (3528 16V C TYPE (6032 25V D TYP E (7343 35V] 3. 电感: I.DIP型电感 II.SMD 型电感

常用电子器件管脚排列图

常用电子器件管脚排列图 附录1 逻辑符号对照示例 附录表1.1 逻辑非、逻辑极性符号对照示例(以反相器为例) 附录表1.2 几种常用逻辑门的逻辑符号比较示例 附录表1.3 逻辑符号、框图、管脚排列比较示列(以74HC390为例)

附录2 集成电路 1. 集成电路命名方法 集成电路命名方法见附录表2.1 附录表2.1 国产半导体集成电路型号命名法(GB3430-82) 2.集成电路介绍 集成电路IC 是封在单个封装件中的一组互连电路。装在陶瓷衬底上的分立元件或电路有时还和单个集成电路连在一起,称为混合集成电路。把全部元件和电路成型在单片晶体硅材料上称单片集成电路。单片集成电路现在已成为最普及的集成电路形式,它可以封装成各种类型的固态器件,也可以封装成特殊的集成电路。 通用集成电路分为模拟(线性)和数字两大类。模拟电路根据输入的各种电平,在输出端产生各种相应的电平;而数字电路是开关器件,以规定的电平响应导通和截止。有时候集成电路标有LM (线性类型) 或DM(数字类型)符号。 集成电路都有二或三个电源接线端:用CC V 、DD V 、SS V 、V +、V -或GND 来表示。这是一般应用所需要的。 双列直插式是集成电路最通用的封装形式。 其引脚标记有半圆形豁口、标志线、标志圆点 等,一般由半圆形豁口就可以确定各引脚的位置。 双列直插式的引脚排列图如附录图2.1所示。 3.使用TFL 集成电路与CMOS 集成电路的注意事项 (1) 使用TYL 集成电路注意事项 ① TYL 集成电路的电源电压不能高于V 5.5+。 使用时,不能将电源与地颠倒错接,否则将会因为过大电流而造成器件损坏。 附录图 2.1双列直插式集成电路的引脚排列

电子元件识别大全附图简体

1.0目的 制订本指南,规范公司的各层工作人员认识及辨别日常工作中常用的各类元件。 2.0范围 公司主要产品(电脑主机板)中的电子元件认识: 2.1工作中最常用的的电子元件有:电阻、电容、电感、晶体管(包括二极管、发光二极管及三极管)、晶体、晶振(振荡器)和集成电路(IC)。 2.2连接器元件主要有:插槽、插针、插座等。 2.3其它一些五金塑胶散件:散热片、胶针、跳线铁丝等。 4.0电子元件 4.1电阻 电阻用“R”表示,它的基本单位是欧姆(Ω) 1MΩ(兆欧)=1,000KΩ(千欧)=1,000,000Ω 公司常用的电阻有三种:色环电阻、排型电阻和片状电阻。 色环电阻的外观如图示: 图1五色环电阻图2四色环电阻 较大的两头叫金属帽,中间几道有颜色的圈叫色环,这些色环是用来表示该电阻的阻值和范围的,共有12种颜色,它们分别代表不同的数字(其中金色和银色表误差): 我们常用的色环电阻有四色环电阻(如图2)和五色环电阻(如图1): 1).四色环电阻(普通电阻):电阻外表上有四道色环: 这四道环,首先是要分出哪道是第一环、第二环、第三环和第四环:标在金属帽上的那道环叫第一环,表示电阻值的最高位,也表示读值的方向。如黄色表示最高位为四,紧挨第一环的叫第二环,表示电阻值的次高位,如紫色表示次高位为7;紧挨第2环的叫第3环,表示次高位后“0”的个数,如橙色表示后面有3个0;最后一环叫第4环,表示误差范围,一般仅用金色或银色表示,如为金色,则表示误差范围在±10%之间。 例如:某电阻色环颜色顺序为:黄-紫-橙-银,表示该电阻的阻值为:47,000Ω=47KΩ,误差范围:±10%之间。

芯片引脚图及引脚描述

555芯片引脚图及引脚描述 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。 7脚称放电端,与3脚输出同步,输出电平一致,但7脚并不输出电流,所以3脚称为实高(或低)、7脚称为虚高。 555集成电路管脚,工作原理,特点及典型应用电路介绍. 1 555集成电路的框图及工作原理 555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体,如图1所示。 2. 555芯片管脚介绍 555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。 图2 555集成电路封装图 我们也可以把555电路等效成一个带放电开关的R-S触发器,如图3(A)所示,这个特殊的触发器有两个输入端:阈值端(TH)可看成是置零端R,要求高电平,触发端(TR)可看成是置位端S,要求低电平,有一个输出端Vo,Vo可等效成触发器的Q端,放电端(DIS)可看成是由内部放电开关控制的一个接点,由触发器的Q端控制:Q=1时DIS端接地,Q=0时DIS 端悬空。另外还有复位端MR,控制电压端Vc,电源端VDD和 地端GND。这个特殊的触发器有两个特点: (1)两个输入端的触发电平要求一高一低,置零端R即阈值端(TH)要求高电平,而置位端s 即触发端(TR)则要求低电乎; (2)两个输入端的触发电平使输出发生翻转的阈值电压值也不同,当V c端不接控制电压时,对TH(R)端来讲,>2/3VDD是高电平1,<2/3VDD是低电平0:而对TR(S)端来讲,>1/3VDD是

器件管脚图及功能表

1 附录6 器件管脚图及功能表 74LS74双D 正沿触发器 74LS273八D 触发器 74LS377八D 触发器 74LS374八D 触发器 (三态输出) 74LS175双输出四D 触发器 74LS245 74LS161四位二进制同步计数器 74LS139双2:4译码器

2 74LS151 八选一选择器 74LS157 四个二选一选择器 74LS257 四个二选一选择器 (非反相三态输出) 注:i 等于d 2d 1d 0对应的十进制数 6116 2K*8 RAM 2716 2K*8 ROM 2732 4K*8 ROM 74LS138 3:8译码器 74LS148 8:3八进制优先编码器 74LS85 四位幅度比较器

附录9微指令寄存器的各字段微操作信号输出去向及功能 指令字段IR7~0 3

附录10 联机通讯指南 一、准备 1、准备一台PC机。 2、把TEC-2机在实验台上放好打开,将TEC-2的随机电源放在TEC-2的左侧,并确认电源开关处在关断的位置。 二、连接电源线 1、将TEC-2机电源的直流输出插头P8插在TEC-2机垂直板左侧的插座P8上; 将TEC-2机电源的直流输出插头P9插在TEC-2水平板左侧的插座P9上。 特别提醒注意:不要接反P8和P9,否则会烧机器或电源。 2、将TEC-2电源的电源线一端接电源的交流输入插孔,另一端接220V交流电源接线盒。 注意:TEC-2电源的交流电源线必须和计算机的电源线接在同一个有地线的电源接线盒上,以保证两设备共地,否则可能烧毁电源或机器。 三、连接TEC-2和PC 1、准备好随机提供的TEC-2和PC的串口通讯电缆。该电缆一端是9孔的插头,另一端是25孔的插头。 注意:TEC-2随机提供多条通讯电缆,请务必正确选用,以免错误连接造成联机失败。 2、把串口通讯电缆的9孔插头接在TEC-2机的上板左下角V70插座上,25孔插头插在计算机的串口上(COM1或COM2)。 如果PC上没有25针的串口或者25针的串口已被其它设备占用,TEC-2 随机提供一个9转25的转换器可以把25孔的插头转换成9孔的插头,接在9针的串口上。 四、TEC-2的初始设置 将TEC-2大板下方钮子开关S2~S0拨成100(向上为“1”,向下为“0”); FS1~FS4拨成1010(向上为“1”,向下为“0”); 将CONT/STEP钮子开关拨到CONT位置。 五、开机 1、打开计算机电源开关,使计算机正常启动。 2、打开TEC-2电源开关,TEC-2大板左上角一排指示灯亮。 六、加载通讯软件 1、用户可以根据联机PC的软件配置情况选择以下三种方法之一运行联机通讯软件。4

基本元器件的规范化图形

基本元器件的规范化图形。 1 2 3 4 5 6、继电器

7、二极管类 8、三极管类

9 10

5.4电源、地的命名要求、规范化图形及注意事项 1、电源、地的命名和规范化图形 建议电源使用图标,方便修理人员查找 其他地名称统一标识为实际的地的名称。 2、注意事项 如果需要使用符号,请注意使用的“SYMBOL”的“NAME”是否与设计中的网络名相同,如果不同,在生成网表时会产生两个网络名。例如通常我们放置的“GND”符号都是

而实际这个符号的“NAME”可能是“GND”也可能是“GND_POWER”、,而系统通常默认的都是“GND_POWER”。如果设计中没有将“GND”与“GND_POWER”连接在一起,网表中就会出现“GND”、“GND_POWER”两个网名,很显然不同的网名在EDA设计时是不能被连接在一起的。 对于有可焊接管脚的金属壳体器件,如:复位按钮、拨码开关、连接器等,在原理图中应该明确表示金属壳体是接哪一种地,如:工作地,还是接ESD防护及屏蔽地。 CMOS电路的不用的输入端不能悬空。 第二部分元器件原理图建库规范 1.目的。 对绘图者在CaptureV10.0平台上建立元器件原理图符合进行规范要求,增加电路图的可读性及确保库资源共享。 2.范围。 本标准规定了在CaptureV10.0平台上元器件原理图符号建库规范。 本标准适用于公司在CaptureV10.0平台上的元器件原理图符号建库和审核。 3.管理建议。 1、由绘图人员来负责Cadence元器件原理图模型的建立和该元器件资料的查询。 2、由EDA元器件库维护人员负责Cadence元器件原理图符号模型的审核。 3、由EDA元器件库维护人员负责将审核通过的元器件原理图符号模型分类加入到Cadence元器件原理图符号库中,如果元器件并不符合已有的库类别,将其加入其它类中。 4.CADENCE元器件建库步骤和要求。 4.1 CADENCE元器件原理图库器件模型的建造总体要求。 库模型根据实际情况权衡制作,遵循的一个原则是通俗易懂。以下提出几点约定须共同遵守: 1、只要元器件上有的管脚,图形库都应体现出来,不允许使用隐含管脚的方式(包括未使用的管脚)。 2、对IC器件,在空间允许的情况下尽量做成矩形或方形;对于管脚的安排,可根据功能模块和管脚号的顺序综合考虑管脚的排列,原则输入放置在左边,输出放置在右边,电源放置在上边,地放置在下面。 3、对连接器、插针等有2列的接插件,管脚号的命名顺序应该和板片中的命名保持一致。 4、对于CPLD/FPGA器件,做成矩形或方形;对于管脚的安排,原则上要求按照管脚顺序号进行排列。 5、对电阻、电容、电感、二极管、发光二极管、三极管、保险丝、过压保护器、复位开关、电池等分立器件及小封装器件,图形使用常见的简易图形表示。 4.2 CADENCE元器件建库步骤和具体要求。 4.2.1 N e w Part Proterties 的设置。 当需要添加一个新的元器件库的时候,首先我们会在capturev16.5中遇到下面这个New Part Properties窗口:

器件管脚图及功能表上课讲义

器件管脚图及功能表

收集于网络,如有侵权请联系管理员删除 附录6 器件管脚图及功能表 74LS74双D 正沿触发器 74LS273八D 触发器 74LS377八D 触发器 74LS374八D 触发器 (三态输出) 74LS175双输出四D 触发器 74LS245 74LS161四位二进制同步计数器 74LS139双2:4译码器

收集于网络,如有侵权请联系管理员删除 74LS151 八选一选择器 74LS157 四个二选一选择器 74LS257 四个二选一选择器 210 6116 2K*8 RAM 2716 2K*8 ROM 2732 4K*8 ROM 74LS138 3:8译码器 74LS148 8:3八进制优先编码器

附录9微指令寄存器的各字段微操作信号输出去向及功能 收集于网络,如有侵权请联系管理员删除

附录10 联机通讯指南 一、准备 1、准备一台PC机。 2、把TEC-2机在实验台上放好打开,将TEC-2的随机电源放在TEC-2的左侧,并确认电源开关处在关断的位置。 二、连接电源线 1、将TEC-2机电源的直流输出插头P8插在TEC-2机垂直板左侧的插座P8上; 将TEC-2机电源的直流输出插头P9插在TEC-2水平板左侧的插座P9上。 特别提醒注意:不要接反P8和P9,否则会烧机器或电源。 2、将TEC-2电源的电源线一端接电源的交流输入插孔,另一端接220V交流电源接线盒。 注意:TEC-2电源的交流电源线必须和计算机的电源线接在同一个有地线的电源接线盒上,以保证两设备共地,否则可能烧毁电源或机器。 三、连接TEC-2和PC 1、准备好随机提供的TEC-2和PC的串口通讯电缆。该电缆一端是9孔的插头,另一端是25孔的插头。 注意:TEC-2随机提供多条通讯电缆,请务必正确选用,以免错误连接造成联机失败。 收集于网络,如有侵权请联系管理员删除

74ls138管脚图及功能真值表

74ls138引脚图 74HC138管脚图:74LS138为3 线-8 线译码器,共有54/74S138和54/74LS138两种线路结构型式,其工作原理如下: 当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为 低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低 电平译出。 利用G1、/(G2A)和/(G2B)可级联扩展成24 线译码器;若外接一个反 相器还可级联扩展成32 线译码器。 若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器 用与非门组成的3线-8线译码器74LS138

3线-8线译码器74LS138的功能表 无论从逻辑图还是功能表我们都可以看到74LS138的八个输出引脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出引脚全为高电平1。如果出现两个输出引脚同时为0的情况,说明该芯片已经损坏。 当附加控制门的输出为高电平(S=1)时,可由逻辑图写出

由上式可以看出,同时又是这三个变量的全部最小项的译码输出,所以也把这种译码器叫做最小项译码器。 71LS138有三个附加的控制端、和。当、时,输出为高电平(S=1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平,如表3.3.5所示。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。 带控制输入端的译码器又是一个完整的数据分配器。在图3.3.8电路中如果把作为“数据”输入端(同时),而将作为“地址”输入端,那么从送来的数据只能通过所指定的一根输出线送出去。这就不难理解为什么把叫做地址输入了。例如当=101时,门的输入端除了接至输出端的一个以外全是高电平,因此的数据以反码的形式从输出,而不会被送到其他任何一个输出端上。 【例3.3.2】试用两片3线-8线译码器74LS138组成4线-16线译码器,将输入的4位二进制代码译成16个独立的低电平信号。 解:由图3.3.8可见,74LS138仅有3个地址输入端。如果想对4位二进制代码,只能利用一个附加控制端(当中的一个)作为第四个地址输入端。 取第(1)片74LS138的和作为它的第四个地址输入端(同时令),取第(2)片的作为它的第四个地址输入端(同时令),取两片的、、,并将第(1)片的和接至,将第(2)片的接至,如图3.3.9所示,于是得到两片74LS138的输出分别为

LM339引脚图与功能简介

LM2901/LM339/LM239/LM139的引脚和原理参数完全一样,只是使用温度不一样。 LM339引脚图与功能简介 LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是: 1)失调电压小,典型值为2mV; 2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V; 3)对比较信号源的内阻限制较宽; 4)共模范围很大,为0~(Ucc-1.5V)Vo; 5)差动输入电压范围较大,大到可以等于电源电压; 6)输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。

常用电子器件管脚排列

常用电子器件管脚排列图附录1 逻辑符号对照示例 附录表1.1 逻辑非、逻辑极性符号对照示例(以反相器为例) 逻辑符号逻辑功能 逻辑非 A Y 逻辑极性 附录表1.2 几种常用逻辑门的逻辑符号比较示例 标准非门与门与非门或门或非门异或门国标 GB4728.12 ---85(IEC 617—12) 美国一些公 司的标准 附录表1.3 逻辑符号、框图、管脚排列比较示列(以74HC390为例) 项目逻辑符号框图管脚排列 图形 功能功能标注清晰,但烦 琐。用于原理图中 简单易用,但功能有时提示不全。多用 于原理电路图中 管脚位置确切,但功能可能提示 不全。用于装配电路图、接线图

附录2 集成电路 1. 集成电路命名方法 集成电路命名方法见附录表2.1 附录表 2.1 国产半导体集成电路型号命名法(GB3430-82) 第零部分 第一部分 第二部分 第三部分 第四部分 用字母表示器件符合国家标准 用字母表示器件 的类型 用阿拉伯数字和用字母表示器件的系列品 种代号 用字母表示器件的工作温度范围 用字母表示器件的 封装形式 符号 意义 符号 意义 符号 意义 符号 意义 C 中国制造 T H E C F D W J B M μ TTL HTL ECL CMOS 线性放大器 音响、电视电路 稳压器 接口电路 非线性电路 存储器 微型电路 C E R M 0 ~70℃ -48 ~75℃ -55 ~85℃ -55 ~125℃ W B F D P J K T 陶瓷封装 塑料封装 全密封扁 平 陶瓷直插 塑料直插 黑陶瓷扁 平 金属菱形 金属圆形 2.集成电路介绍 集成电路IC 是封在单个封装件中的一组互连电路。装在陶瓷衬底上的分立元件或电路有时还和单个集成电路连在一起,称为混合集成电路。把全部元件和电路成型在单片晶体硅材料上称单片集成电路。单片集成电路现在已成为最普及的集成电路形式,它可以封装成各种类型的固态器件,也可以封装成特殊的集成电路。 通用集成电路分为模拟(线性)和数字两大类。模拟电路根据输入的各种电平,在输出端产生各种相应的电平;而数字电路是开关器件,以规定的电平响应导通和截止。有时候集成电路标有LM (线性类型) 或DM(数字类型)符号。 集成电路都有二或三个电源接线端:用CC V 、DD V 、SS V 、V +、V -或GND 来表示。这是一般应用所需要的。 双列直插式是集成电路最通用的封装形式。 其引脚标记有半圆形豁口、标志线、标志圆点 等,一般由半圆形豁口就可以确定各引脚的位置。 双列直插式的引脚排列图如附录图2.1所示。 3.使用TFL 集成电路与CMOS 集成电路的注意事项 (1) 使用TYL 集成电路注意事项 附录图 2.1双列直插式集成电路的引脚排列

器件管脚图及功能表

附录6 器件管脚图及功能表 74LS74双D 正沿触发器 74LS273八D 触发器 74LS377八D 触发器 74LS374八D 触发器 (三态输出) 74LS175双输出四D 触发器 74LS245 74LS161四位二进制同步计数器 74LS139双2:4译码器

74LS151 八选一选择器 74LS157 四个二选一选择器 74LS257 四个二选一选择器 (非反相三态输出) 注:i等于d2d1d0对应的十进制数 6116 2K*8 RAM2716 2K*8 ROM2732 4K*8 ROM 74LS138 3:8译码器 74LS148 8:3八进制优先编码器 74LS85 四位幅度比较器

附录9微指令寄存器的各字段微操作信号输出去向及功能 指令字段IR7~0

附录10 联机通讯指南 一、准备 1、准备一台PC机。 2、把TEC-2机在实验台上放好打开,将TEC-2的随机电源放在TEC-2的左侧,并确认电源开关处在关断的位置。 二、连接电源线 1、将TEC-2机电源的直流输出插头P8插在TEC-2机垂直板左侧的插座P8上; 将TEC-2机电源的直流输出插头P9插在TEC-2水平板左侧的插座P9上。 特别提醒注意:不要接反P8和P9,否则会烧机器或电源。 2、将TEC-2电源的电源线一端接电源的交流输入插孔,另一端接220V交流电源接线盒。 注意:TEC-2电源的交流电源线必须和计算机的电源线接在同一个有地线的电源接线盒上,以保证两设备共地,否则可能烧毁电源或机器。 三、连接TEC-2和PC 1、准备好随机提供的TEC-2和PC的串口通讯电缆。该电缆一端是9孔的插头,另一端是25孔的插头。 注意:TEC-2随机提供多条通讯电缆,请务必正确选用,以免错误连接造成联机失败。 2、把串口通讯电缆的9孔插头接在TEC-2机的上板左下角V70插座上,25孔插头插在计算机的串口上(COM1或COM2)。 如果PC上没有25针的串口或者25针的串口已被其它设备占用,TEC-2 随机提供一个9转25的转换器可以把25孔的插头转换成9孔的插头,接在9针的串口上。 四、TEC-2的初始设置 将TEC-2大板下方钮子开关S2~S0拨成100(向上为“1”,向下为“0”); FS1~FS4拨成1010(向上为“1”,向下为“0”); 将CONT/STEP钮子开关拨到CONT位置。 五、开机 1、打开计算机电源开关,使计算机正常启动。 2、打开TEC-2电源开关,TEC-2大板左上角一排指示灯亮。 六、加载通讯软件 1、用户可以根据联机PC的软件配置情况选择以下三种方法之一运行联机通讯软件。

74LS74内部结构-引脚图-管脚-逻辑图(双D触发器)、原理图和真值表以及波形图分析

74LS74内部结构引脚图管脚逻辑图(双D触发器)、原理图和真值表以及波形图分析下面介绍一下74ls74,74ls74内部结构,74ls74引脚图,74ls74管脚图,74ls74逻辑图。 在TTL电路中,比较典型的d触发器电路有74ls74。74ls74是一个边沿触发器数字电路器件,每个器件中包含两个相同的、相互独立的边沿触发d触发器电路。 (图点击,或下载后可放大)

(图点击,或下载后可放大) ---------------------- 原理图和真值表以及波形图分析 边沿D 触发器: 负跳沿触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。 电路结构: 该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。

工作原理: SD 和RD 接至基本RS 触发器的输入端,它们分别是预置和清零端,低电平有效。当SD =0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。工作过程如下: 1.CP=0时,与非门G3和G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6 =Q5=D。 2.当CP由0变1时触发器翻转。这时G3和G4打开,它们的输入Q3和Q4的状态由G5和G6的输出状态决定。Q3=Q5=D,Q4=Q6=D。由基本RS触发器的逻辑功能可知,Q =D。 3.触发器翻转后,在CP=1时输入信号被封锁。这是因为G3和G4打开后,它们的输出Q 3和Q4的状态是互补的,即必定有一个是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,

器件管脚图及功能表

. 附录6 器件管脚图及功能表 74LS74双D 正沿触发器 74LS273八D 触发器 74LS377八D 触发器 74LS374八D 触发器 (三态输出) 74LS175双输出四D 触发器 74LS245 74LS161四位二进制同步计数器 74LS139双2:4译码器

74LS151 八选一选择器 74LS157 四个二选一选择器 74LS257 四个二选一选择器 (非反相三态输出) 注:i等于d2d1d0对应的十进制数 6116 2K*8 RAM 2716 2K*8 ROM2732 4K*8 ROM 74LS148 8:3八进制优先编码器 74LS85 四位幅度比较器 .

附录9微指令寄存器的各字段微操作信号输出去向及功能 指令字段IR7~0 .

附录10 联机通讯指南 一、准备 1、准备一台PC机。 2、把TEC-2机在实验台上放好打开,将TEC-2的随机电源放在TEC-2的左侧,并确认电源开关处在关断的位置。 二、连接电源线 1、将TEC-2机电源的直流输出插头P8插在TEC-2机垂直板左侧的插座P8上; 将TEC-2机电源的直流输出插头P9插在TEC-2水平板左侧的插座P9上。 特别提醒注意:不要接反P8和P9,否则会烧机器或电源。 2、将TEC-2电源的电源线一端接电源的交流输入插孔,另一端接220V交流电源接线盒。 注意:TEC-2电源的交流电源线必须和计算机的电源线接在同一个有地线的电源接线盒上,以保证两设备共地,否则可能烧毁电源或机器。 三、连接TEC-2和PC 1、准备好随机提供的TEC-2和PC的串口通讯电缆。该电缆一端是9孔的插头,另一端是25孔的插头。 注意:TEC-2随机提供多条通讯电缆,请务必正确选用,以免错误连接造成联机失败。 2、把串口通讯电缆的9孔插头接在TEC-2机的上板左下角V70插座上,25孔插头插在计算机的串口上(COM1或COM2)。 如果PC上没有25针的串口或者25针的串口已被其它设备占用,TEC-2 随机提供一个9转25的转换器可以把25孔的插头转换成9孔的插头,接在9针的串口上。 四、TEC-2的初始设置 将TEC-2大板下方钮子开关S2~S0拨成100(向上为“1”,向下为“0”); FS1~FS4拨成1010(向上为“1”,向下为“0”); 将CONT/STEP钮子开关拨到CONT位置。 五、开机 1、打开计算机电源开关,使计算机正常启动。 2、打开TEC-2电源开关,TEC-2大板左上角一排指示灯亮。 六、加载通讯软件 .

光电耦合器的管脚图及工作原理

光电耦合器的管脚图及工作原理 光电耦合器的作用及工作原理 光电偶合器件(简称光耦)是把发光器件(如发光二极体)和光敏器件(如光敏三极管)组装在一起,通过光线实现耦合构成电—光和光—电的转换器件。光电耦合器分为很多种类,图1所示为常用的三极管型光电耦合器原理图。 当电信号送入光电耦合器的输入端时,发光二极体通过电流而发光,光敏元件受到光照后产生电流,CE导通;当输入端无信号,发光二极体不亮,光敏三极管截止,CE不通。对于数位量,当输入为低电平“0”时,光敏三极管截止,输出为高电平“1”;当输入为高电平“1”时,光敏三极管饱和导通,输出为低电平“0”。若基极有引出线则可满足温度补偿、检测调制要求。这种光耦合器性能较好,价格便宜,因而应用广泛。图一最常用的光电耦合器之内部结构图三极管接收型4脚封装

图二光电耦合器之内部结构图三极管接收型6脚封装 图三光电耦合器之内部结构图双发光二极管输入三极管接收型4脚封装 图四光电耦合器之内部结构图可控硅接收型6脚封装图五光电耦合器之内部结构图双二极管接收型6脚封装光电耦合器之所以在传输信号的同时能有效地抑制尖脉冲

和各种杂讯干扰,使通道上的信号杂讯比大为提高,主要有以下几方面的原因: (1)光电耦合器的输入阻抗很小,只有几百欧姆,而干扰源的阻抗较大,通常为105~106Ω。据分压原理可知,即使干扰电压的幅度较大,但馈送到光电耦合器输入端的杂讯电压会很小,只能形成很微弱的电流,由于没有足够的能量而不能使二极体发光,从而被抑制掉了。 (2)光电耦合器的输入回路与输出回路之间没有电气联系,也没有共地;之间的分布电容极小,而绝缘电阻又很大,因此回路一边的各种干扰杂讯都很难通过光电耦合器馈送到另一边去,避免了共阻抗耦合的干扰信号的产生。 (3)光电耦合器可起到很好的安全保障作用,即使当外部设备出现故障,甚至输入信号线短接时,也不会损坏仪表。因为光耦合器件的输入回路和输出回路之间可以承受几千伏的高压。 (4)光电耦合器的回应速度极快,其回应延迟时间只有10μs左右,适于对回应速度要求很高的场合。 光电隔离技术的应用 微机介面电路中的光电隔离 微机有多个输入埠,接收来自远处现场设备传来的状态信号,微机对这些信号处理后,输出各种控制信号去执行相应的操作。在现场环境较恶劣时,会存在较大的杂讯干扰,若

芯片引脚图及引脚描述

555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。 7脚称放电端,与3脚输出同步,输出电平一致,但7脚并不输出电流,所以3脚称为实高(或低)、7脚称为虚高。 555集成电路管脚,工作原理,特点及典型应用电路介绍. 1 555集成电路的框图及工作原理 555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管

LM331 器件管脚图及管脚功能及应用

LM331 内部功能图

LM331 的内部电路组成如右图所示由输入比较器、定时比较器、R-S 触发器、输出驱动管、复零晶体管、 LM331 内部功能图 能隙基准电路、精密电流源电路、电流开关、输出保护管等部分组成输出 驱动管采用集电极开路形式,因而可以通过选择逻辑电流和外接电阻,灵活 改变输出脉冲的逻辑电平,以适配 TTL、DTL 和 CMOS 等不同的逻辑电路。LM331 可采用双电源或单电源供电,可工作在 4.0~40V 之间,输出可高达40V,而且可以防止 Vcc 短路。 电压-频率变换器工作原理 上图是由 LM331 组成的电压—频率变换电路。外接电阻 Rt 、Ct和定时比较器、复零晶体管、R-S触发器 电压-频率变换器工作原理 等构成单稳定时电路。当输入端 Vi+输入一正电压时,输入比较器输出高电平,使R-S触发器置位,Q输出高电平,输出驱动管导通,输出端fo为逻辑低电平,同时,电流开关打向右边,电流源 IR 对电容CL 充电。此时由于复零晶体管截止,电源 Vcc 也通过电阻 Rt 对电容 Ct 充电。当电容 Ct 两端充电电压大于 Vcc 的2/3 时,定时比较器输出一高电平, 使 R-S 触发器 复位,Q 输出低电平,输出驱动管截止,输出端 fo 为逻辑高电平,同时,复 零晶体管导通,电容 Ct 通过复零晶体管迅速放电;电流开关打向左边,电 容 CL 对电阻 RL放电。当电容 CL 放电电压等于输入电压 Vi 时,输入比较器再次输出高电平,使 R-S触发器置位,如此反复循环,构成自激振荡。 右图画出了电容 Ct、 CL 充放电和输出脉冲 f0 的波形。设电容 CL 的充电时间为 t1,放电时间为 t2,则根据电容 CL 上电荷平衡的原理,我们有: (IR-VL/RL)t1=t2VL/RL

DS18B20详细引脚功能描述

DS18B20详细引脚功能描述 (2)独特的单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯 (3)DS18B20支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现组网多点测温 (4)DS18B20在使用中不需要任何外围元件,全部传感元件及转换电路集成在形如一只三极管的集成电路内 (5)温范围-55℃~+125℃,在-10~+85℃时精度为±0.5℃ (6)可编程的分辨率为9~12位,对应的可分辨温度分别为0.5℃、0.25℃、0.125℃和0.0625℃,可实现高精度测温 (7)在9位分辨率时最多在93.75ms内把温度转换为数字,12位分辨率时最多在750ms 内把温度值转换为数字,速度更快 (8)测量结果直接输出 数字温度信号,以“一线总线”串行传送给CPU,同时可传送CRC校验码,具有极强的抗干扰纠错能力 (9)负压特性:电源极性接反时,芯片不会因发热而烧毁,但不能正常工作。 DS18B20 测温原理 DS18B20 测量温度时使用特有的温度测量技术。其内部的低温度系数振荡器能产生稳定的频率信号f0,高温度系数振荡器则将被测温度转换成频率信号f。当计数门打开时,DS18B20 对f0 计数,计数门开通时间由高温度系数振荡器决定。芯片内部还有斜率累加器,可对频率的非线性予以被偿。测量结果存入温度寄存器中。一般情况下的温度值应为9 位(符号点1位),但因符号位扩展成高8 位,故以16 位被码形式读出,表2 给出了温度和数字量的关系。

温度传感器选择DS18B20 优点 温度采集模块电路如下: 报警电路:报警模块由两个部分组成:蜂鸣器报警和LED灯报警 报警电 路 51 单 片 机 温度传感 电路 显示电 路 按键电路

FPGA器件管脚说明

FPGA器件管脚说明 用户I/O:不用解释了。 配置管脚: MSEL[1:0] 用于选择配置模式,比如AS、PS等。 DA TA0 FPGA串行数据输入,连接到配置器件的串行数据输出管脚。 DCLK FPGA串行时钟输出,为配置器件提供串行时钟。 nCSO(I/O)FPGA片选信号输出,连接到配置器件的nCS管脚。 ASDO(I/O)FPGA串行数据输出,连接到配置器件的ASDI管脚。 nCEO 下载链期间使能输出。在一条下载链中,当第一个器件配置完成后,此信号将使能下一个器件开始进行配置。下载链上最后一个器件的nCEO悬空。 nCE 下载链器件使能输入,连接到上一个器件的nCEO,下载链的最后一个器件nCE接地。nCNFIG 用户模式配置起始信号。 nSTATUS 配置状态信号。 CONF_DONE 配置结束信号。 电源管脚: VCCINT 内核电压。130nm为1.5V,90nm为1.2V。 VCCIO 端口电压。一般为3.3V,还可以支持多种电压,5V、1.8V、1.5V。 VREF 参考电压。 GND 信号地。 时钟管脚: VCC_PLL PLL管脚电压,直接连VCCIO。 VCCA_PLL PLL模拟电压,截止通过滤波器接到VCCINT上。 GNDA_PLL PLL模拟地。 GNDD_PLL PLL数字地。 CLK[n] PLL时钟输入。 PLL[n]_OUT PLL时钟输出。 特殊管脚: VCCPD 用于寻则驱动。 VCCSEL 用于控制配置管脚和PLL相关的输入缓冲电压。 PROSEL 上电复位选项。 NIOPULLUP 用于控制配置时所使用的用户I/O的内部上拉电阻是否工作。 TEMPDIODEN 用于关联温度敏感二极管。 ************************************************************************************ 1/1、I/O, ASDO 在AS模式下是专用输出脚,在PS和JTAG模式下可以当I/O脚来用。在AS模式下,这个脚是CII向串行配置芯片发送控制信号的脚。也是用来从配置芯片中读配置数据的脚。在AS模式下,ASDO有一个内部的上拉电阻,一直有效,配置完成后,该脚就变成三态输入脚。ASDO脚直接接到配置芯片的ASDI脚(第5脚)。 2/2、I/O,nCSO 在AS模式下是专用输出脚,在PS和JTAG模式下可以当I/O 脚来用.在AS模式下,这个脚是CII用来给外面的串行配置芯片发送的使能脚。在AS模式下,ASDO有一个内部的上拉电阻,一直有效。这个脚是低电平有效的。直接接到配置芯片的/CS脚(第1脚)。 3/3、I/O,CRC_ERROR 当错误检测CRC电路被选用时,这个脚就被作为CRC_ERROR脚,如果不用默认就用来做I/O。但要注意,这个脚是不支持漏极开路和反向的。当它作为CRC_ERROR时,高电平输出则表示出现了CRC校验错误(在配置SRAM各个比特时出现了错误)。CRC电路的支持可以在setting中加上。这个脚一般与nCONFIG脚配合起来用。即如果配置过程出错,重新配置。 4/4、I/O,CLKUSR

相关主题