搜档网
当前位置:搜档网 › 基于FPGA的脉冲发生器的设计

基于FPGA的脉冲发生器的设计

基于FPGA的脉冲发生器的设计
基于FPGA的脉冲发生器的设计

【基础?应用】

基于FP GA 的脉冲发生器的设计

张 涛

(北方交通大学电子信息工程学院,北京100044)【摘 要】 以脉冲发生器为研究对象,介绍了脉冲发生器的基本原理、硬件构成和实现方法,阐述了一种基于DSP -FP G A 数字系统的PWM 控制脉冲生成方法,并给出了仿真及实测实验结果。

【关键词】 脉宽调制;脉冲发生器;可编程门阵列

1 FP G A 简介

FP G A (Field Programmable G ate Array ,可编程门阵列)是美国Xinlinx 公司推出的一种采用单元型结构的新型PLD 器件。它采用CMOS 、SRAM 工艺制作,在结构上与阵列型PLD 不同,它的内部由许多独立的可编程逻辑单元构成,各逻辑单元之间可以灵活地相互连接,具有密度高、速度快、编程灵活和可重新配置等诸多优点。FP G A 已成为当前主流的PLD 器件之一。

1.1 PLD 的主要特点

(1)缩短研制周期。

(2)降低设计成本。用PLD 来设计和改造电子产品可以大幅度地减少印制板的面积和接插件,降低装配和调试费用。

(3)提高设计灵活性和可靠性。大量分立式元器件在向印制板上装配时,往往会发生由于虚焊或接触率近似于线性增加,且线性斜率较小;肝脏中大小不同的散射源对不同频率的声波存在有不同的散射效应。

由于肝脏组织结构的非均匀性、复杂性及其各部分散射相关长度分布的不一致性,其散射谱随深度增加而衰减变化,并非完全呈线性关系,而呈现较复杂的关系变化。

⑵肝叶边缘部分及表层区域,其结构散射近似呈瑞利散射特征;肝叶表层以下与肝叶中心之间的中间区域,其结构散射呈随机散射特征;肝叶中心区域,其结构散射呈扩散漫射特征,也有较强的反射。

⑶利用区域结构散射特征谱,不仅可对各特征区域组织微结构作出粗略估计,而且可通过区域散射谱特征的变化,对生物软组织的生理病理变化的判断提供依据。

综上所述,利用超声散射谱分析,可为B 超的形态学图像信息诊断提供一个组织特征的信息,在临床上是有应用前景的。

参考文献

[1]Luigi Landini et al.IEEE Trans on U FFC.1990,37(5):448-456

[2]陈启敏等.声学学报.1995,Vol.21,No.4:692-699

[3]E.J.Feleppa ,et al.IEEE Annual International Conference ,EMB ,1990;12(1):337

(责任编辑:常 平)

2003年4月第19卷第2期 武警工程学院学报JOURNAL OF EN GG COLL EGE OF ARMED POL ICE FORCE Apr.2003Vol.19No.2

①收稿日期:2002-12-06作者简介:张涛(1968.07-),1994年毕业于西安交通大学工业电器自动化专业,现在北方交通大学电子信息工程学院电子与信息工程专业攻读硕士学位。

不良而造成的故障,并且这种故障常常难以发现,给调试和维修带来极大的困难。因此,采用PLD 之后,系统的可靠性会大大提高。

(4)提高产品的保密程度和竞争能力。

(5)降低电子产品的功耗。由于PLD 内部电路尺寸很小、互连线短、分布电容小,驱动电路所需的功耗就大大降低;另外,由于芯片内部受外界的干扰很小,所以可以采用较小的工作电压以降低功耗。

1.2 EPM7128芯片简介

EPM7128S 是Altera 公司MAX7000S 系列较早支持在系统编程(In System Programmable ,ISP )的产品,MAX7000S 器件的特点主要有以下几点:

◇采用第二代多阵列矩阵(MAX )结构;

◇器件的规模在600到5000个可用门之间;

◇引脚到引脚之间的延时为6ns ,工作频率可达15115MHz ;

◇工作电压为5V ,支持在系统编程(ISP );

◇可编程功率节省模式下工作,每个宏单元的功耗可降到原来的50%或更低;

◇高性能的可编程连线阵列(PIA )提供一个高速的、延时可预测的互连线资源;

◇每个宏单元中的可编程扩展乘积项(P -Terms )可达32个;

◇具有可编程加密位,可对芯片内的设计加密。

EPM7128S 属于高密度、高性能的CMOS EPLD 器件,宏单元数为128,封装形式为PLCC ,I/O 引脚数为68、84、100。

2 由EPM7128S 构成PWM 触发器的设计

2.1 基于FP G A 的脉冲发生器连接简图(如图1

)

图1 基于FPG A 的数字控制系统

控制系统由DSP 和FPG A 构成,DSP 完成采样及控制计算,FPG A 负责完成PWM 波形的产生。DSP 在完成控制计算后,定时刷新各相上IG BT 的触发脉冲宽度,并送到FPG A ;FPG A 存储DSP 最新的脉冲宽度,发出图2 FPG A 等效的模块

PWM 波形,其工作不受DSP 的影响。FPG A 等效的模块见图2。

D0~D7为8位数据输入端口,CS 、WR 分别为片选和写允许端口

(低电平有效),AP 、BP 、CP 为A 、B 和C 相的同步信号输入端口,S1~S6

分别是IG B T1~IB TB6的触发脉冲输出端。

如何根据给定的起始与终止时刻来形成实际脉冲,以控制其中IG 2

B T 的正常开通与关断,这就是FP G A 所需要做的工作了。当DSP 将脉

冲时间计算出来后,由FP G A 芯片———EPM7128S 配合完成脉冲的最终

产生。

2.2 FP G A 各单元的功能说明及实现方法

(1)同步信号处理单元触发脉冲同步信号的选取,应采用系统电压的基波正序分量作为同步信号,并经过同步信号处理电路得到同步电压过零信号,在各相的过零信号出现时刻,以此为基准,开始发出相应的PWM 波形。同步信号处理电路还应具有滤除干扰信号的功能。

与同步信号AP 相关的内部标志寄存器有R 和Q 。寄存器Q 为区别正半周期和负半周期的标志位,Q 武警工程学院学报 2003年第2期

图3 基于FPG A 的脉冲发生器的原理示意图

=1表示为正半周,Q =0表示为负半周。寄存器R 为

新的周期开始的标志位,当开始一个新的周期时,R 发

出一个时钟周期的高电平,基本波形发生单元据此上

升沿重新开始从A0发出PWM 波,保证每一个周期

都同步,不会发生误差的积累。

实现思想是:在系统同步时钟的作用下,保存当前

同步信号S1的状态,并把它的当前值与前一时钟下同

步信号G1相比较,若S1

降沿,此时计数器应置初值并开始计数,若S1=G1,则

相邻时钟下同步信号没有变化,是无效的;若S1>G1,

说明同步信号有个上升沿,也是无效的,不做处理。

AP 、Q 、R 的波形如图4所示

。图4 AP 、Q 、R 波形图

(2)时钟分配单元

提供内部时序电路运行的时间基准,进行对外部时钟信号的分频。基本波形发生单元中的计数器需要的时钟频率可编程设置。

(3)总线接口单元

接口单元接收DSP 写入的PWM 脉冲宽度数据,保存在相应的缓冲存储单元中,当完整的脉宽数据都送入后,再由控制存储单元读取,刷新内部波形发生单元读取的数据,产生期望的PWM 波形。与DSP 的接口单元包括地址锁存、译码、8位数据的写入、写信号处理等功能。

因为PWM 脉冲在前半个周期和后半个周期是完全相同的,只是高低电平反相,又因为在半个周期中脉冲是左右对称的,所以如图5所示,一个完整的五脉冲PWM 波形可用A1、A2、…、A10来描述,实际只要DSP 送A1、A2、A3、A4和A5五个脉宽数据

图5 PWM 的5脉冲波形

(4)缓冲及控制存储单元

控制存储单元检测到数据已全部送到缓冲器后(即标志位H 置1),刷新存储器单元,DSP 通过实时刷新A1、A2、…、A10来控制PWM 波形的生成。数据缓冲区采用由总线接口单元和控制存储单元组成的二级结构,具有如下功能和优点:①保证PWM 波形的完整性,只有DSP 将整组数据A1、A2、…、A10都写入内存后,这一组数据才有效;②对于任意一相PWM 波形,当启动发生后,新的波形刷新数据只在下一个脉冲周期才起作用。

(5)基本波形发生单元

张涛:基于FPG A 的脉冲发生器的设计

利用8位减法计数器实现对PWM 的脉冲宽度A1、A2、…、A10的计数,产生基本的PWM 调制波形。寄存器angler 的最高位作为需要超前或滞后的标志位。angler[7]=1,表示超前的角度为angler [6:0],此时,需要在经过半个周期后(即在AP 的上升沿)进行计数延时,延时完成,发出超前的PWM 波形;angler

[7]=0,表示滞后的角度为angler[6:0],此时,需要在新的周期开始时(即在AP 的下降沿)进行延时,延时完成后,发出滞后的PWM 波形。

(6)控制逻辑和死区形成单元

从PWM 单元生成的六路脉冲是两两反相的,对于同一桥臂上的两个IG B T 全控器件,有可能出现一个管子已开通,而另一个管子还没有关断的情况,从而导致直流侧短路,使开关管永久损坏。为避免这种情况的发生,最终用于控制IG B T 的PWM 脉冲必须加入死区时间。原理上十分简单,只需要延时开通,保证同一桥上一管开通时,另一管已经完全管断即可,这就需要在脉冲由“0”跃变到“1”时,自动延时一段时间。死区可编程设定,范围为1us ~127us 。

控制逻辑和死区形成单元作为一个非独立的模块,内嵌在基本波形发生单元中,实现思想是:生成PWM 脉冲的减法计数器在进行减1操作前,把死区时间从中减掉,计数完成后,让同一桥臂上的两个IG B T 都关断,进行死区的计数,死区延时完成后,再接着进行下一个PWM 脉冲的生成。

死区加入前后的PWM 脉冲波形如图6所示

图6 死区加入后A 相上的两个IG B T 的PWM 波形图

(7)脉冲输出逻辑单元

可实现PWM 脉冲的分配、脉冲输出、故障情况下脉冲封锁(此功能实际仿真时并没有实现)、开机/停机控制等功能。

3 软件设计仿真

图7是用Verilog HDL 编制的完整程序在MAX +PL US Ⅱ软件中的仿真结果。其中AP

为系统电压的

图7 仿真波形图

同步信号,A T 为地址译码输入端口,DA 为8位数据输入端口;U 为滞后角度的延时时间状态标志寄存器,

武警工程学院学报 2003年第2期

在延时过程中保持高电平,延时到重新回到低电平;Q 为同步信号到来的标志寄存器,同步信号到来的同时发出一个时钟周期的高电平;SA 为系统电压状态的锁存寄存器;S1、S4为同一相上PWM 波形的输出端口。

仿真参数设置说明:CL K =1MHz ,Tap =1.8ms 。

仿真结果分析:从仿真波形图中可以观测到PWM 脉冲的输出端S1、S2的输出波形符合要求,上下桥臂的触发脉冲反相,并且死区时间明显。

4 实测实验结果

图8为S1端口输出的PWM 波形

图8 S1输出的PWM 脉冲波形

波形分析:由输出的实际PWM 波形可以发现,理想的仿真波形与实际的输出波形存在的差别,由上图可知实测波形并不对称,分析原因可能为死区没有处理好,另一个原因是程序下载出现问题

图9 S1和S4同时输出的PWM 脉冲波形

波形分析:对照S1和S4输出的PWM 波形,相互反相,与仿真结果符合,满足要求。

把S1输出的PWM 脉冲波经RC 滤波,选取R =520Ω,C =4.2μF ,即截止频率为f H =1/2

πRC =72.9Hz ,理论上应输出频率为50Hz 的正弦波,图10为实测的波形图。

张涛:基于FPG A 的脉冲发生器的设计

武警工程学院学报 2003年第2期

图10 系统电压同步信号和S1输出经过RC滤波波形

波形分析:由波形图可知S1输出经过RC滤波,波形明显滞后系统电压同步信号,滞后的角度即为STA TCOM装置所要求的延迟角度。实测结果满足要求。

对上图的波形进行观察可以发现,S1与系统电压的同步信号的延迟角度并不是所设置的30°,而是36°。其原因为,当死区时间越小,误差越小,即误差主要是由死区造成的。CL K=90KHz,T=11.11ms,当死区设置为A=2,死区时间为11.11×2=22122ms。又因为对于工频,1ms=18°,所以死区对相位差的影响是很明显的。

5 FP G A实现PWM的优点

(1)速度快

一方面,FP G A的积木式结构决定其具有很快的速度。它不像单片机那样需要一条一条指令循环运行,而有点类似模拟器件,输入变化后,输出几乎立即响应(大约几个ns)。

另一方面,在全数字交流控制系统中,由于A/D等外围采样器件速度慢、电流和速度的数字控制开销等原因,系统的控制周期较长。一般情况下,PWM调制周期T就是系统的工作周期。采用了FP G A后,我们就可以减小PWM调制周期,从而改善系统的性能。

(2)模块化

模块化是当今电子系统发展的趋势,利用FP G A产生PWM波形可使系统的功能更加清晰。实际上只要增大FP G A的规模,我们就可以把控制系统的许多环节都在FP G A内实现。这样,FP G A就等效于系统控制模块了。

(3)设计周期短、灵活性强

这个特点主要是由于FP G A能重复在系统编程(ISP),且I/O引脚可随便定义。

参考文献

[1]中国电工技术学会.电工高新技术丛书(第5分册).机械工业出版社,2000.4

[2]栗春,姜其容,马晓军,修林成.±10Kvar静止同步补偿器的动模实验研究.电力系统自动化.1999(3):50~53

[3]王兆安,黄俊.电力电子技术.机械工业出版社,2000.5

[4]曾繁泰,侯亚宁,崔元明.可编程器件应用导论.清华大学出版社,2001.4

[5]王金明,阳吉斌.数字系统设计与Verilog HDL.电子工业出版社,2002.1

[6]田杰,陈贤明,王小红,王彤.基于FPG A的静止补偿器PWM脉冲发生器设计.电力系统自动化,2002(12):47~49

[7]张波涛,肖飞,马伟明,胡安.利用现场复杂可编程门阵列产生PWM控制脉冲的方法.电工技术杂志,2002(1):24~26

[8]孙文焕,程善美,秦忆.基于FPG A的空间矢量PWM的实现.电气传动,2000(6):21~24

[9]Altera Inc.DATA BOO K.Altera Corporation,2000

[10]A Verilog HDL Prime(Second Edition).Star G alaxy Publishing.2000.7

[11]https://www.sodocs.net/doc/2911994480.html,

[12]https://www.sodocs.net/doc/2911994480.html,

[13]https://www.sodocs.net/doc/2911994480.html,(责任编辑:常 平)

脉冲信号发生器使用方法

脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般都以矩形波为标准信号输出。 脉冲信号发生器的种类繁多,性能各异,但内部基本电路应包括图1所示的几个部分。 主振级一般由无稳态电路组成,产生重复频率可调的周期性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器使输出的脉冲信号幅度可调。 所示为xc-15型脉冲信号发生器的面板示意图,xc-15型脉冲信号发生器是高重复频率ns (纳秒)级脉冲信号发生器。其重复频率范围为1kHz~100MHz,脉冲宽度为5ns~300μs,幅度为150mV~5V,并输出正、负脉冲及正、负倒置脉冲,性能比较完善。 (1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①“频率”粗调开关和“频率细调”旋钮。调节“频率”粗调开关和“频率细调”旋钮,可实现1kHz~100MHz的连续调整。粗调分为十挡(1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz和100MHz),用细调覆盖。“频率细调”旋钮顺时针旋转时频率增高,顺时针旋转到底,为“频率”粗调开关所指频率;逆时针旋转到底,为此“频率”粗调开关所指刻度低一挡。例如,“频率”粗调开关置于10kHz挡,“频率细调”旋钮顺时针旋转到底时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②“延迟”粗调转换开关和“延迟细调”旋钮。调节此组开关和旋钮,可实现延迟时间5ns~300,tts的连续调整。延迟粗调分为十挡(5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输出负方波的下降沿超前主脉冲前沿的时间。 “延迟细调”旋钮逆时针旋转到底为粗调挡所指的延迟时间。顺时针旋转延迟时间增加,顺时针旋转到底为此粗调挡位高一挡的延迟时间。例如,“延迟”粗调开关置于30ns挡,“延迟细调”旋钮顺时针旋转到底时输出延迟时间为100ns;逆时针旋转到底时输出延迟时间为30ns。 ③“脉宽”粗调开关和“脉宽细调”旋钮。通过调节此组开关和旋钮,可实现脉宽5ns~300μs 的连续调整。“脉宽”粗调分为十挡(5ns、10ns、30ns、100ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。“脉宽细调”旋钮逆时针旋转到底为粗调挡所指的脉宽时间。顺时针旋转脉宽增加,顺时针旋转到底为此粗调挡位高一挡的脉宽。例如,“脉宽”粗调开关置于10ns挡,“脉宽细调”旋钮顺时针旋转到底时输出脉宽为30ns;逆时针旋转到底时输出延迟时间为10ns。 ④“极性”选择开关。转换此开关可使仪器输出四种脉冲波形中的一种。 ⑤“偏移”旋钮。调节偏移旋钮可改变输出脉冲对地的参考电平。 ⑥“衰减”开关和“幅度”旋钮。调节此组开关和旋钮,可实现150mV~5V的输出脉冲幅度调整。 (2)使用注意事项在使用xc 15型脉冲信号发生器时应注意如下两点事项。 ①本仪器不能空载使用,必须接入50Ω负载,并尽量避免感性或容性负载,以免引起波形畸变。 ②开机后预热15min后,仪器方能正常工作。

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

基于FPGA的电子抢答器的程序设计

基于FPGA的电子抢答器的程序设计 摘要 随着科学技术日新月异,文化生活日渐丰富,在各类竞赛、抢答场合电子抢答器已经作为一种工具得到了较为广泛的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手段准确、公正、直观地判断出最先获得发言权选手的设备。 此次设计有4组抢答输入,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具备自锁功能,保证能够实现在一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可靠等优点。该四路抢答器使用VHDL硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。 关键词:VHDL,FPGA,四路抢答器,仿真

目录 1 概述 (1) 1.1 设计背景 (1) 1.2 抢答器现状 (1) 1.3 本论文主要完成的工作 (1) 1.4 设计心得 (2) 2 开发工具简介 (3) 2.1 VHDL语言简介 (3) 2.2 FPGA开发过程与应用 (4) 2.2.1 FPGA发展历程及现状 (4) 2.2.2 FPGA工作原理 (4) 2.2.3 FPGA开发流程 (5) 2.3 Quartus II软件 (6) 3系统设计 (8) 3.1 系统设计要求 (8) 3.2 系统设计方案 (8) 3.2.1 系统硬件设计方案 (8) 3.2.2 系统软件设计方案 (8) 3.3.3 系统原理详述 (10) 4 电路程序设计及仿真 (12) 4.1 抢答锁存模块设计 (12) 4.1.1 VHDL源程序 (12) 4.1.2 抢答锁存电路的模块 (13) 4.2 仿真 (14) 总结 (15) 致谢 (17) 参考文献 (18)

多通道可调脉宽脉冲发生器设计

《电子技术应用》2007年第5期本刊邮箱:eta@ncse.com.cn图2单片机和CPLD的硬件连接原理图 高重复频率的固体开关技术是脉冲功率领域研究的重点之一。在兆赫兹重复频率下,适合构成固体开关的功率电子器件有金属氧化物半导体场效应晶体管(MOS-FET)、 砷化镓光导开关(GaAs-PCSS)等。对于将功率MOS-FET器件作为固体开关的脉冲功率源,由于单个功率MOSFET器件的耐压和输出电流能力有限,为了得到更高的电压和更大的电流,需要对大量的功率MOSFET器件进行串联和并联。美国利弗莫尔国家实验室(LLNL)用于产生20kV、400A的脉冲功率源,一共使用了720个功率MOS-FET器件[1]。这些功率MOSFET器件在产生输出脉冲时是 同步触发的,这样就需要有多通道的同步触发信号。 功率MOSFET的开关速度非常快,一般为十几纳秒。因此,对同步输出的触发信号需要的时间抖动要小于MOSFET器件的开关时间, 否则将会引起并联的 MOSFET器件的电流不均匀,导致器件损坏。MOSFET器 件的开关完全是由输入栅极驱动信号决定的,为了能使功率MOSFET器件能在MHz的重复频率下工作,要求触发信号源有很小脉宽的输出能力。本文介绍了一种可用于兆赫兹重复频率的脉冲功率源上作为触发信号的多通道可调脉宽、频率的脉冲发生器的设计。 1系统的组成和工作原理 脉冲发生器的系统结构如图1,整个系统由控制部分和光纤发射电路部分组成。在控制部分中,单片机89S52和可编程逻辑器件(CPLD)ispLSI1032E组成了脉冲产生的逻辑硬件核心。单片机负责接收并解析上位机(PC机)的设置信息,如输出脉冲的宽度,频率和个数,通过运算得 到CPLD所需的分频数,并通过8位总线传输给CPLD,由 CPLD产生多个通道同步输出的脉冲信号。单片机还可以 读取CPLD中关于输出脉冲的设置,处理后返回到上位机作为诊断信息。CPLD的优点是可用I/O口多,可以实现多通道的同步输出。光纤发射电路将每一路控制产生的脉冲信号经驱动增强电路之后,分成12路的同步脉冲,用光纤发射器件以光信号的方式输出。 2硬件设计 控制部分的核心是单片机和CPLD器件,它们之间的接口方式一般有独立方式和总线方式两种。独立方式最大的优点是接口逻辑无须遵循单片机内固定的总线方式的读写时序。总线方式具有编程简单、速度快的优点。本设计采用8位总线方式,图2为硬件连接原理图。设计 多通道可调脉宽脉冲发生器设计 张良,秦玲,刘承俊,章林文 (中国工程物理研究院流体物理研究所,四川绵阳621900) 摘要:一种用于功率MOSFET器件触发信号产生的多通道可调脉宽脉冲发生器。该装置具有控制简单,多个通道时间抖动小的特点。 关键词:信号发生器多通道脉宽可调 29

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

顺序脉冲产生电路设计

沈阳航空航天大学 课程设计 (说明书) 顺序脉冲产生电路设计 班级计算机1304 学号2013040101178 学生姓名万延正 指导教师孙克梅

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目顺序脉冲产生电路设计 课程设计的内容及要求: 一、设计说明与技术指标 要求设计一个顺序脉冲产生电路,能将预先设定的并行数据转换为串行脉冲输出,具体要求如下: ①电路具有16个按键用来设定输入16个并行数据的高低电平; ②具有启动按键,每按一次启动键,电路就串行输出预先设定的16个数据; ③输出完16个数据位后电路停止,输出恒为0; ④具有输出信号指示灯,表明输出信号的高低电平,灯亮表示1,不亮表示0; ⑤具有时钟信号指示灯,在每个式中信号周期内闪烁一次。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1.阎石主编.数字电子技术基础.[M]北京:高等教育出版社,2006年 2.赵淑范,王宪伟主编.电子技术实验与课程设计.[M]北京:清华大学出版社,2006年 3.孙肖子、邓建国等主编. 电子设计指南. [M]北京:高等教育出版社,2006年 4.杨志忠主编. 电子技术课程设计. [M]北京:机械工业出版社,2008年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年7 月19 日

一、概述 在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算和操作,这就要求控制电路不仅能正确的发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序,能完成这样功能的电路称为顺序脉冲发生器。该顺序脉冲由555定时器产生,用16个开关设定输入16个并行数据的高低电平,每次按键,电路就会串行输出预先设定的16个数,输出完16个数据位后电路停止,输出恒为0。该电路具有输出信号指示灯,灯亮的次数表示输入高电平的个数。在每个周期内,时钟指示灯只闪烁一次。 一、方案论证 根据实验要求,我选取两片74LS165芯片将其串联,74LS165芯片是并行输入, 串行输出移位寄存器。从而实现电路具有16个按键用来设定输入16个并行数据的高低电平。电路主要由顺序脉冲产生电路,移位寄存电路,状态指示电路,电源电路组成。原理图如图1所示: 图1 总电路框架图 二、电路设计 1、时钟脉冲产生电路如图2所示。 图2 时钟脉冲产生电路

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

FPGA四路电子抢答器设计

课程设计报告 专业班级 课程 FPGA/CPLD原理及应用题目四路电子抢答器设计学号 姓名 同组人 成绩 2013年5月

一、设计目的 1.进一步掌握QUARTUSⅡ软件的使用方法; 2.会使用VHDL语言设计小型数字电路系统; 3.掌握应用QUARTUSⅡ软件设计电路的流程; 4.掌握电子抢答器的设计方法。 二、设计要求 1.系统总体设计 (1)设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2)具有第一抢答信号的鉴别和锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,显示器显示出抢答者的组别。同时,电路处于自锁存状态,使其他组的抢答器按钮不起作用。 (3)具有计时功能。在初始状态时,主持人可以设置答题时间的初始值。在主持人对抢答组别进行确认,并给出倒计时记数开始信号以后,抢答者开始回答问题。此时,显示器从初始值开始倒计时,计到0时停止计数,同时扬声器发出超时警报信号。若参赛者在规定的时间内回答完问题,主持人可以给出计时停止信号,以免扬声器鸣叫。 (4)具有计分功能。在初始状态时,主持人可以给每组设置初始分值。每组抢答完毕后,由主持人打分,答对一次加1分,答错一次减1分。 (5)设置一个系统清除开关,该开关由主持人控制。 (6)具有犯规设置电路。超时抢答者,给予鸣喇叭警示,并显示规范组别。 2.设计方案 系统的输入信号有:各组的抢答按钮A、B、C、D,系统允许抢答信号STA,系统清零信号RST,计分时钟信号CLK,加分按钮端ADD、en,减分端SUB、sta,计时使能端en时钟信号clk,复位rst;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用a1、b1、c1、d1表示,四个组抢答时的计时数码显示控制信号,抢答成功组别显示的控制信号,各组计分显示的控制信号。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(输出显示模块)。 3.如图为流程图: 开始→抢答→抢答鉴别→回答→加减分数→显示↑↑ 倒计时倒计时 犯规抢答或抢答后答题时间超时鸣喇叭警告。

可控脉冲发生器的设计

可控脉冲发生器的设计 一、 实验目的 1、 了解可控脉冲发生器的实现机理。 2、 学会用示波器观察FPGA 产生的信号。 3、 学习用VHDL 编写复杂功能的代码。 二、 实验原理 脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原理。 假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个 M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件 时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为: 三、 实验内容 编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。 四、 实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity exp10 is port( Clk : in std_logic; --时钟输入 Rst : in std_logic; --复位输入 ???≤≤<≤=N T M M T Q 001%1001 )1(?+=+=N M T N CLOCK 占空比周期

简易矩形波发生器报告

数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希

目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

8路抢答器基于fpga解析

基于FPGA的多路数字抢答器的设计 摘要:本文主要介绍了以FPGA为基础的八路数字抢答器的设计,首先对各模块的功能进行分配,此次设计主要有七个模块,依次为分频模块、抢答模块、加减分模块、倒计时模块、设置倒计时模块、蜂鸣器模块和数字显示模块。主持人按下开始键可以实现抢答开始,选手号的显示,加减分模块,积分的显示,积分的重置,并启动倒计时模块;若有选手犯规或者倒计时记到五秒,停止倒计时,开启蜂鸣器,并为进入加减分模块做准备。此次设计程序用Quartus II12.0为软件开发平台,用Verilog语言来编写,使用模块化编程思想,自上向下,通过寄存器变量来控制各个模块的运行。本次设计采用FPGA来增强时序的灵活性,由于FPGA的I/O端口资源丰富,可以在此基础上稍加修改可以增加很多其他功能的抢答器,因此后期可塑性很强,因为核心是FPGA芯片,外围电路比较简单,可靠性强、运算速度高,因此便于维护,并且维护费用低。 关键词:FPGA、抢答器、倒计时、犯规报警、加减分、显示 目录 第一章绪论................. . (2) 第二章 FPGA原理及相关开发工具软件的介绍 (3) 2.1 FPGA的简介..... . (3) 2.1.1 FPGA的发展与趋势......... .. (3) 2.1.2 FPGA的工作原理及基本特点 (4) 2.1.3 FPGA的开发流程 (5) 2.1.4 FPGA的配置... . (5) 2.2 软件介绍............... .. (6) 2.2.1 Verilog HDL的介绍........... .. (6)

2.2.2 Quartus II软件.................... .. (7) 第三章数字抢答器系统设计方案和主要模块 (8) 3.1 功能描述及设计架构...... .. (8) 3.2 抢答器程序流程图以及各模块代码分析 (10) 3.2.1 抢答器程序结构及主程序流程图 (10) 3.2.2 秒分频模块 (15) 3.2.3 倒计时以及倒计时剩5S时报警模块...... 错误!未定义书签。 3.2.4 倒计时显示及倒数计时设置显示模块 (20) 3.2.5 选手号显示及违规报警模块 (26) 3.2.6倒计时设置模块 (30) 3.2.7顶层模块 (35) 3.3 硬件电路 (37) 3.3.1 按键电路图 (38) 3.3.2 数码管显示电路图 (38) 3.3.2 蜂鸣器电路图 (39) 第四章管脚分配及功能 (40) 第五章总结 (41) 参考文献 (418) 第一章绪论 1.1 课题研究背景 随着社会的发展,各种竞赛比赛日益增多,抢答器以它的方便快捷、直观反映首先取得发言权的选手等优点,深受比赛各方的辛睐,市场前景一片大好。另一方面随着电子科技的发展,抢答器的功能以及实现方式也越来越多,产品的可靠性以及准确性也越来越强。能够实现多路抢答器功能的方式有很多种,主要包括前期的数字电路、模拟电路以及数字电路与模拟电路组合的方式,但是这种方

基于VHDL的可控脉冲发声器 设计

可控脉冲发生器的设计 1.设计要求: 实现周期、占空比均可调的脉冲发生器。 (1)采用1khz 的工作时钟; (2)脉冲周期0.5s~6s ,占空比10%~90%; (3)可初始化:周期2.5s ,占空比50%; 2.实验目的 1、了解可控脉冲发生器的实现机理。 2、学会用示波器观察FPGA 产生的信号。 3、学习用VHDL 编写复杂功能的代码。 3.实验原理: 脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原理。 假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个 M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件 时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为: 4.实验内容: 编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。 ???≤≤<≤=N T M M T Q 001%1001)1(?+=+=N M T N CLOCK 占空比周期

5.程序设计及仿真: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity exp10 is port( Clk : in std_logic; --时钟输入 Rst : in std_logic; --复位输入 NU,ND : in std_logic; --输入:控制频率的改变 MU,MD : in std_logic; --输入:控制占空比的改变 Fout : out std_logic --波形输出 ); end exp10; architecture behave of exp10 is signal N_Buffer,M_Buffer : std_logic_vector(10 downto 0); signal N_Count :std_logic_vector(10 downto 0); signal clkin : std_logic; signal Clk_Count : std_logic_vector(12 downto 0); --产生一个低速时钟,用于按键判断 begin process(Clk) --计数器累加 begin if(Clk'event and Clk='1') then if(N_Count=N_Buffer) then N_Count<="00000000000"; else N_Count<=N_Count+1; end if; end if; end process; process(Clk) --波形判断

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

基于FPGA的四路抢答器的Verilog-HDL代码

module qiangda4(clk,clr,inputEn,add,stu,inputL1,inputL2,inputL3,inputL4,Led1 ,Led2,Led3,Buzzer); // 开始声明各个端口 //输入口 input clk,clr,inputEn,add,stu,inputL1,inputL2,inputL3,inputL4; //输出口 output [0:7] Led1; //倒计时时使用的LED控制端 output [0:7] Led2; //数码管控制端 output [0:7] Led3; //分数显示数码管控制端 output Buzzer; //蜂鸣器 //各个寄存器变量声明 reg [0:7] Led1; reg [0:7] Led2; reg [0:7] Led3; reg cnt=32'b0; reg Buzzer; reg score=4’hf;//分数显示寄存器 //配置寄存器,EnFlat是表明开始抢答的标志位 reg EnFlat=1'b0; //BuClk是蜂鸣器的标志位 reg BuClk=1'b0; //BuL是做蜂鸣器的延时用 reg [0:7]BuL=8'd0; //抢答选手标志位 reg answer=3’d0; //各组分数标志位 reg score1=4’d5; reg score2=4’d5; reg score3=4’d5; reg score4=4’d5; //------------初始化模块--------------- always @ (posedge clk)//捕捉时钟 begin //初始化各按键并开始抢答 begin if(inputEn==1'b0) begin //初始化各个标志位和参数

设计并实现频率可控的正弦波信号发生器 单片机课设

1Proteus软件简介 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是:①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。②支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。④具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。 特点:支持ARM7,PIC ,A VR,HC11以及8051系列的微处理器CPU模型,更多模型正在开发中; 交互外设模型有LCD显示、RS232终端、通用键盘、开关、按钮、LED等; 强大的调试功能,如访问寄存器与内存,设置断点和单步运行模式; 支持如IAR、Keil和Hitech等开发工具的源码C和汇编的调试; 一键“make”特性:一个键完成编译与仿真操作; 内置超过6000标准SPICE模型,完全兼容制造商提供的SPICE模型; DLL界面为应用提供特定的模式; 14种虚拟仪器:示波器、逻辑分析仪、信号发生器、规程分析仪等; 高级仿真包含强大的基于图形的分析功能:模拟、数字和混合瞬时图形;频率;转换;噪声;失真;付立叶;交流、直流和音频曲线; 模拟信号发生器包括直流、正旋、脉冲、分段线性、音频、指数、单频FM;数字信号发生器包括尖脉冲、脉冲、时钟和码流; 集成PROTEUS PCB设计形成完整的电子设计系统。 Protues软件与Keil uVision的结合 对于初次使用Protues软件的人可能还不知道如何设置,现在把设置步骤简介如下,仅供参考(本文章只讨论在单机上结合,在两个联网机器使用由于篇幅限制不在此讨论):设置

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

相关主题