搜档网
当前位置:搜档网 › DDS波形发生器电路原理及功能

DDS波形发生器电路原理及功能

DDS波形发生器电路原理及功能
DDS波形发生器电路原理及功能

DDS波形发生器电路组成及功能

、DDS波形发生器电路的组成

本系统以单片机STC89C52为核心,采用了直接数字合成技术(DDS),实现了频

率任意调节的正弦波,方波,三角波以及特定的任意信号,产生的各类波形精度高,稳定性好,采用友好的人机界面,操作方便。系统总框图如下图所示:

(1)相位累加地址发生器

使用可编程逻辑器件IC4 GAL16V8编程得到累加相位码,也就是波形的地址码。这里的GAL16V8是100进制计数器,相位累加时,对应一个波形100个数据的地址。

GAL16V8拥有8个输入端和8个输出端,可以单独选择每个输出端的极性,灵活的进行输出端的组合排列、可重复编程、频带范围宽,工作频率很高。使用GAL16V8做相位累加地址发生器使得电路更加简洁,保证在高频率下的稳定工作。

(2)数据存储器

使用外部ROM数据存储器IC5 27C64,已经预先把相关波形的量化数据写入该外部ROM中。

(3)D/A模数转换电路

使用数模转换集成芯片IC6 DAC0832,DAC0832是一个8位的电流式的数模转换器,就是把波形数据转化为波形真实的模拟信号。波形信号为电流信号,因此输出端还要接运算放大器,把电流信号转换为电压信号。

(4)双极性转换电路及滤波电路

.M

DDS波形发生器系统框图

采用反相比例运算放大电路IC7 TL084 中的A,B 运放,将D/A 输出的单极性信号转

换为双极性信号。

为确保产生波形的质量,减少波形失真度,使输出波形光滑,须用低通滤波器把高频分量滤掉。在此采用自动线性跟踪Butterworth 有源滤波器,在此采用二阶有源低通滤波器,即TL084 中的 C 运放及R19,C18,R20,C10 两节低通滤波器组成。

(5)显示及控制电路

本机器需要进行人机对话,根据系统设计要求,采用独立式微动按钮S2?7输入,八只七段LED1?8数码管作为输出显示,清晰可见。使用时可以选择方波、三角波和正弦波三种波形的输出;可调节不同波形的输出频率,并实现了1Hz 的频率步进。

(6)单片机电路

单片机电路是DDS 波形发生器的核心电路,它由微处理器IC12 AT89S52 及外围电路、元器件等组成。它由P0和P1共16个端口与显示电路相连接,把各种的输出波形的相关数据送到八只七段LED i?8数码管显示。

还与可编程逻辑器件IC4 ,外部ROM 数据存储器IC5 相连接,提供可编程基准信号:使用单片机定时器产生固定频率,波形稳定,为相位累加地址发生器提供基准信号。

另外由P2.0?P2.5接键盘的6只微动按钮S2?7,作控制输出信号之用。

微处理器IC12已经按功能要求,把相关的程序写入到芯片中。

(7)电源电路

本系统中,许多集成电路,如单片机、D/A 电路、运算放大器等都需要直流电源进行供电才能工作。单片机、EEPROM等都以5V电源供电,而运算放大器需要±2V供电,因此在电源板的设计过程中,要同时获得+5V、±2V的直流电压。LM7812输出电压为

+12V,LM7912输出电压为-12V,LM7805输出电压为+5V,三者的性能都能满足

设计要求。

在具体电路中,由市电AC220V经变压器降压为双AC12V后,接入到经由二极管VD3

组成的单相全波整流电桥整流,由电容器C8?11滤波后一路电压经过三端稳压器

?6

LM7912稳压后输出+12V,另一路电压经过三端稳压器LMC7912稳压后输出-12V电压。

±2V电压作为模拟放大器的电源电压,电容器C12?17是滤波电容。其中+12V电压经三端稳压器LM7805 稳压后输出+5V 电压,作为AT89S51、CAL16V8、27C64、URL2803、DAC0832、74LS244等数字集成电路的电源电压。考虑到D/A转换器在进行数模转换时,需要较稳定、精度较高的参考电压,在电源板设计过程中,将LM7812输出的+12V电

压经再分压处理,通过稳压二极管TL431稳压和精密电阻的调节来得到D/A电路所需的咼精度稳压参考电源V REF。

还有外部测试信号端口J1 :外部基准信号输入,为系统调试时作为相位累加地址发生器基准信号。

二、DDS波形发生器的功能描述

1. DDS波形发生器按键功能,系统操作共设有六个按键S2?7,分别:

2 ?数码管显示方式

开机时,最前面显示正弦波符号,后面4位显示0100,最末2位显示“ HZ”字样, 即2口0100H Z。上电开机或按复位键S i后,输出默认频率100Hz的正弦波。当输出端J2有信号输出时(不在关闭状态)所有按键都无效,或者说只有输出端J2在关闭状态时(没有输出信号),按键才能进行操作。

3?“方波”、“三角波”、“正弦波”这三种主要波形在数码管LED1显示的代码符号:

S4设定得到,但是幅度只能通过电位器R21的调节加以实现。

4.操作与功能

(1)上电开机或按S1复位键后,数码显示管DS1?8显示为2口0100H Z,输出频率100H Z的正弦波。

(2)按下S5?7其中一键,可随意改变输出信号波形,LED1代码符号改变。但此时输出端J2输出波形仍处于关闭状态。

(3)短按(v 0.15s)选择键S2,从左向右第3只数码管抖动,再短按S2选择键,

抖动数码管移动至下一位,每短按一次S2 选择键,抖动数码管均可移动至下一位,可在千位到个位间循环。在数码管抖动的位置上,通过按功能键S4 “频率+”或S3 “频率-”,则可以调节频率增加或减小,单次按键实现1的加减,直到4位数字全部输入完成(数字最高只能是1000),当完成数字输入时(此时亦可按S5?7键改变输出波形),长按选择键S2 ( 3S)确定输出取消关闭状态,数码管不再抖动,输出端J2输出波形,此时输出端J2应有已经选择的波形和频率输出。按键S3?7不能进行操作。

(4)如需再调整输出的波形和频率,可再长按选择键S2,使波形输出端J2处于输出关闭状态。

(5)再短按选择键S2,此时可按(3)步骤操作,重复选择波形或重置信号频率。再长按选择键S2可确定输出端J2输出。

(6)在数码管LED3置1时,数码管LED4?6必置0。在输出1kHz信号时要进行小于1kHz信号的调整,必须要使数码管LED3置0后才能调整。

注:只有在输出端J2的输出处于关闭状态时,信号的波形或信号的频率才可以按

动S3?7中一键(S2短按)进行调整。

简易函数信号发生器

课程设计任务书 (一)设计目的 1、掌握信号发生器的设计方法和测试技术。 2、了解单片函数发生器IC8038的工作原理和应用。 3、学会安装和调试分立元件与集成电路组成的多级电子电路小系统。 (二)设计技术指标与要求 1、设计要求 (1)电路能输出正弦波、方波和三角波等三种波形; (2)输出信号的频率要求可调; (3)拟定测试方案和设计步骤; (4)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (5)在面包板上或万能板或PCB板上安装电路; (6)测量输出信号的幅度和频率; (7)撰写设计报告。 2、技术指标 频率范围:100Hz~1KHz 1KHz~10KHz; 输出电压:方波V P-P≤24V,三角波V P-P=6V,正弦波V P-P=1V;方波t r小于1uS。 (三)设计提示 1、方案提示: (1)设计方案可先产生正弦波,然后通过整形电路将正弦波变成方波,再由积分电路将方波变成三角波;也可先产生三角波-方波,再将三角波变成正弦波。 (2)也可用单片集成芯片IC8038实现,采用这种方案时要求幅度可调。 2、设计用仪器设备: 示波器,交流毫伏表,数字万用表,低频信号发生器,实验面包板或万能板,智能电工实验台。 3、设计用主要器件: (1)双运放NE5532(或747)1只(或741 2只)、差分管3DG100 4个、电阻电容若干; (2)IC8038、数字电位器、电阻电容若干。 4、参考书: 《电子线路设计·实验·测试》谢自美主编华中科技大学出版社 《模拟电子技术基础》康华光主编高等教育出版社 《模拟电子技术》胡宴如主编高等教育出版社 (四)设计报告要求 1、选定设计方案; 2、拟出设计步骤,画出设计电路,分析并计算主要元件参数值; 3、列出测试数据表格; 4、调试总结,并写出设计报告。 (五)设计总结与思考 1、总结信号发生器的设计和测试方法;

几种简单的函数信号发生器电路图分析

几种简单的函数信号发生器电路图分析 时间:2012-01-10 15:30 作者:赛微编辑来源:赛微电子网 引言 随着模拟电路技术和电力电子技术发展,电路设计中对信号的精度、稳定性、抗干扰能力等要求进一步提高,电子行业中将一些功能进行集成到IC芯片供其他的厂家来使用。在电路设计中,我们除了正常的电源输入之外,还需要提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形来给某个电路提供输入。 这种可以提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形的电路或者仪器(函数信号发生器的种类),我们可以称之为函数信号发生器,它对电子工程师设计的整个系统来说,发挥着重要的作用,它具有各种内置信号、自定义的任意波形和脉冲能力,能帮助您验证设计,检验新的构想,从而让整个设计更具有可靠性。 本文结合几种简单的函数信号发生器电路图,并对其工作原理(函数信号发生器原理)、可以实现的功能和性能、电路特点等方面做了详细的分析,供电子发烧友参考。 程控函数信号发生器电路图 它主要由主控制器LPC2114、MAX038、D/A转换器以及八选一模拟开关CD4051LED显示、键盘、波段切换,波形处理和峰值检波等部分组成,研究了LPC2114通过D/A转换器实现对MAX038频就绪和占空比的调控方法,并给出

了在0.1Hz~20MHz内产生精确的正弦波、方波和三角波的方法。此外,它还具有可调范围大、精度高、信号稳定等特点,可以应用于各种电子测量和控制场合。 LPC2114主要通过D/A转换器TLC5618、DAC0832和八选一模拟开关CD4051对MAX038输出的波形、频率以及占空比进行控制。通过对A1和A0端的不同设置来选择不同的波形。当A1为高电平、A0为任意时,输出波形为正弦波;当A1、A0同时为低电平时,输出波形为方波;当A1为低电平、A0为高电平时,输出波形为三角波。 MAX038输出波形的幅值为2 V(P-P),最大输出电流为+20 mA,输出阻抗的典型值为0.1 Ω。可直接驱动100 Ω的负载。为了得到更大的输出幅度和驱动能力,就需要对波形信号作进一步处理,下图为一个波形输出与驱动电路。

信号发生器电路的焊接与调试-电路图

一、信号发生器电路安装与调试考核评分表 准考证号姓名规定时间分钟 开始时间结束时间实用时间得分 考核内容及要求配分评分标准扣分 1 元器件清点检查:在10分钟内对所有元 器件进行检测,并将不合格元器件筛选出来进 行更换,缺少的要求补发。 10 超时更换或要求补发按损坏 元件扣分,扣3分/个。 2 安装电路:按装配图进行装接,要求不装 错,不损坏元器件,无虚焊,漏焊和搭锡,元 器件排列整齐并符合工艺要求。 30 漏装,错装或虚焊、漏焊、 搭锡,扣2分/个,安装不整 齐和不符合工艺要求的扣1 分/处,损坏元件扣3分/个。 3 电源电路:接通交流电源,测量交流电压 和各直流电压+12V、-12V、V CC 、-5V。 信号发生器电路:接通+12V、-12V、V CC 、 -5V电源。测量函数信号波形:方波、正弦波、 三角波形。 20 电压测试方法不正确扣10 分,测量值有误差扣5分。 4 选择C=10uf,调节RW13、RW14、RW15, 记录方波的占空比: 1、 2、 3、 10 不会用示波观察输出信号波 形扣10分, 调节不正确扣5分, 波形记录不正确扣5分。 5 改变电容:100nf——100uf,并调节RW11, 记录正弦波输出频率f: 1、 2、 3、 10 最大不失真电压测试方法不 正确扣5分,测量值不准确 扣5分,不会计算最大不失 真功率扣5分。 6 调节RW21、RW22, 记录正弦波输出Vpp: 1、 2、 3、 10 不会测试功放电路的灵敏度 扣5分,不会计算电压放大 倍数扣5分。 7 调节电位器RW16、RW17, 记录正弦波形的失真: 1、 2、 3、 10 测量方法不正确扣5分, 测量数据每处2分,不会绘 制频响曲线扣5分 开始时间:结束时间:实用时间:

简易信号发生器

唐山学院 《单片机原理及应用》课程设计 题目简易信号发生器 系 (部) 信息工程系 班级 姓名 学号 指导教师 2013 年 12 月 30 日至 2014 年 1 月 3 日共 1 周2014年 1 月 3 日

《单片机原理及应用》课程设计任务书

课程设计成绩评定表

目录 1 引言 (1) 2 总体设计 (2) 2.1系统原理设计 (2) 2.1.1设计原理 (2) 2.1.2设计方案论证 (2) 2.1.3设计思想 (3) 2.1.4设计功能 (3) 2.2硬件原理框图 (4) 2.3电路构成 (4) 2.3.1主控电路 (4) 2.3.2 数模转换电路 (5) 2.3.3 按键接口电路 (6) 2.3.4 复位及时钟电路 (6) 2.4器件选择 (7) 2.5软件设计 (7) 2.5.1 软件设计原理 (7) 2.5.2 软件流程图 (7) 3 设计总结 (10) 参考文献 (11) 附录 (12)

引言 AT89C51单片机随着大规模集成电路技术的发展,由中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、(I/O)接口、定时器/计数器和串行通信接口,以及其他一些计算机外围电路等均可集成在一块芯片上构成单片微型计算机。单片机具有体积小、成本低,性能稳定、使用寿命长等特点。其最明显的优势就是可以嵌入到各种仪器、设备中,这是其他计算机和网络都无法做到的[1,2] 信号发生器是指其输出信号的频率、幅度、调制系数等在一定范围内连续可调,并且读数准确、稳定、屏蔽良好的中、高档信号发生器。信号发生器应用广泛,种类繁多,性能各异,分类也不尽一致。 本实验介绍一种用AT89C51单片机构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可调,并由DAC0832转换模块单输入缓冲方式,输入的数字量转换为模拟量得到波形,具有线路简单、结构紧凑、性能优越等特点。

秒脉冲发生器

设计题目:秒脉冲发生器的设计 设计小组:第三组

1 秒脉冲发生器整体设计方案 1.1秒脉冲发生设计方案概述 秒脉冲发生器是由100HZ时钟产生电路和分频电路两部分构成,其中100HZ时钟产生电路主要由555定时器组成的时钟电路,主要用来产生100HZ的脉冲信号;分频电路主要由74LS192组成的100进制计数器电路,主要用于将100HZ 脉冲信号分成1HZ脉冲信号。该方案通过了Multisim软件仿真,并得到了1HZ的脉冲信号,基本实现了工程训练的要求。

1.2 秒脉冲发生器整体设计电路设计图 图1 秒脉冲发生器整体设计电路设计图1.3 秒脉冲发生器整体设计电路仿真图 图2 秒脉冲发生器整体设计电路仿真图

2 各分电路的元件介绍及设计方案 2.1 100HZ时钟产生电路 图3 100HZ时钟产生电路 2.1.1元件介绍 555芯片引脚图及引脚描述: 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

信号发生器的基本原理

信号发生器的基本原理- 信号发生器使用攻略 信号发生器的基本原理 现代信号发生器的结构非常复杂,与早期的简易信号发生器天差地别,但总体基本结构功能单元还是类似的。信号发生器的主要部件有频率产生单元、调制单元、缓冲放大单元、衰减输出单元、显示单元、控制单元。早期的信号发生器都采用模拟电路,现代信号发生器越来越多地使用数字电路或单片机控制,内部电路结构上有了很大的变化。 频率产生单元是信号发生器的基础和核心。早期的高频信号发生器采用模拟电路LC振荡器,低频信号发生器则较多采用文氏电桥振荡器和RC移相振荡器。由于早期没有频率合成技术,所以上述LC、RC振荡器优点是结构简单,可以产生连续变化的频率,缺点是频率 稳定度不够高。早期产品为了提高信号发生器频率稳定度,在可变电容的精密调节方面下了很多功夫,不少产品都设计了精密的传动机构和指示机构,所以很多早期的高级信号发生器体积大、重量重。后来,人们发现采用石英晶体构成振荡电路,产生的频率稳定,但是石英晶体的频率是固定的,在没有频率合成的技术条件下,只能做成固定频率信号发生器。之后 也出现过压控振荡器,虽然频率稳定度比LC振荡器好些,但依然不够理想,不过压控振荡 器摆脱了LC振荡器的机械结构,可以大大缩减仪器的体积,同时电路不太复杂,成本也不高。现在一些低端的函数信号发生器依然采用这种方式。 随着PLL锁相环频率合成器电路的兴起,高档信号发生器纷纷采用频率合成技术,其 优点是频率输出稳定(频率合成器的参考基准频率由石英晶体产生),频率可以步进调节,频率显示机构可以用数字化显示或者直接设置。早期的高精度信号发生器为了得到较小的频率步进,将锁相环做得非常复杂,成本很高,体积和重量都很大。目前的中高端信号发生器 采用了更先进的DDS频率直接合成技术,具有频率输出稳定度高、频率合成范围宽、信号频谱纯净度高等优点。由于DDS芯片高度集成化,所以信号发生器的体积很小。 信号发生器的工作频率范围、频率稳定度、频率设置精度、相位噪声、信号频谱纯度都与频率产生单元有关,也是信号发生器性能的重要指标。 信号发生器的一大特性就是可以操控仪器输出信号的幅度,信号通过特定组合衰减量的衰减器达到预定的输出幅度。早期的衰减器是机械式的,通过刻度来读取衰减量或输出幅度。现代中高档信号发生器的衰减器单元由单片机控制继电器来切换,向电子芯片化过渡,衰减单元的衰减步进量不断缩小,精度相应提高。大频率范围的高精度衰减器和高精度信号输出属于高科技技术,这也是国内很少有企业能制造高端信号发生器的原因之一。信号发生器的信号输出范围和输出电平的精度和准确度也是标志信号发生器性能的重要指标。

基于单片机的信号发生器(完整电路_程序)资料

电子与信息工程学院综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:10电工2班 学号:20101851046 姓名:李俊 指导教师: 时间:

摘要 本文以STC89C51单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如 正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点。 关键词:低频信号发生器;单片机;D /A转换; 1设计选题及任务 设计题目:基于单片机的信号发生器的设计与实现 任务与要求: 设计一个由单片机控制的信号发生器。运用单片机系统控制产生多种波形,这些波形包括方波、三角波、锯齿波、正弦波等。信号发生器所产生的波形的频率、幅度均可调节。并可通过软件任意改变信号的波形。 基本要求: 1. 产生三种以上波形。如正弦波、三角波、矩形波等。 2.最大频率不低于500Hz。并且频率可按一定规律调节,如周期按1T,2T,3T,4T 或1T,2T,4T,8T变化。 3.幅度可调,峰峰值在0——5V之间变化。 扩展要求:产生更多的频率和波形。 2系统概述 2.1方案论证和比较 2.1.1总体方案: 方案一:采用模拟电路搭建函数信号发生器,它可以同时产生方波、三角波、正弦波。但是这种模块产生的不能产生任意的波形(例如梯形波),并且频率调节很不方便。 方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且电路复杂。

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

pwm波信号发生器

电子技术综合训练 设计报告 题目:PWM信号发生器的设计 姓名: 学号: 班级: 同组成员: 指导教师: 日期: 摘要 本次课程设是基于TTL系列芯片的简易PWM信号发生器,PWM信号发生器应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim10仿真软件。本课程设计介绍了PWM信号发生器的设计方案及其基本原理,并着重介绍了PWM信号发生器各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于

测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字: 目录 1 设计任务和要求…………………………………………………………? 1.1设计任务……………………………………………………………? 1.2设计要求…………………………………………………………….? 2 系统设计…………………………………………………………………? 2.1系统要求…………………………………………………………….? 2.2方案设计……………………………………………………………? 2.3系统工作原理……………………………………………………….? 3 单元电路设计……………………………………………………………? 3.1 单元电路A(单元电路的名称) ……………………………………? 3.1.1电路结构及工作原理……………………………………………? 3.1.2电路仿真…………………………………………………………?

3.1.3元器件的选择及参数确定……………………………………………? 3.2单元电路B(单元电路的名称) ……………………………………? 3.2.1电路结构及工作原理…………………………………………? 3.2.2电路仿真…………………………………………………………? 3.2.3元器件的选择及参数确定…………………………………………….? …… 4 系统仿真……………………………………………………………………?. 5 电路安装、调试与测试……………………………………………………? 5.1电路安装………………………………………………………………? 5.2电路调试………………………………………………………………? 5.3系统功能及性能测试…………………………………………………? 5.3.1测试方法设计………………………………………………………? 5.3.2测试结果及分析……………………………………………………? 6 结论…………………………………………………………………………?

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

(Proteus数电仿真)序列信号发生器电路设计

实验8 序列信号发生器电路设计 一、实验目的: 1.熟悉序列信号发生器的工作原理。 2.学会序列信号发生器的设计方法。 3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。 二、实验仪器设备: 仿真计算机及软件Proteus 。 74LS161、74LS194、74LS151 三、实验原理: 1、反馈移位型序列信号发生器 反馈移位型序列信号发生器的结构框图如右图 所示,它由移位寄存器和组合反馈网络组成, 从寄存器的某一输出端可以得到周期性的序列 码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP 将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。 若M 个状态中出现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分为M 个独立状态为止。 (2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的表达式。 (3)检查自启动性能。 (4)画逻辑图。 2、计数型序列信号发生器 计数型序列信号发生器和组合的结构框图 如图 所示。它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。设计 过程分为以下两步: (1)根据序列码的长度M 设计模M (2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。 四、计算机仿真实验内容及步骤、结果: 1、设计一个产生100111序列的反馈移位型序列信号发生器。 1、根据电路图在protuse 中搭建电路图

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

多种信号音及铃流信号发生器实验

信息科学与工程学院《程控交换原理》上机实验报告 专业班级电信姓名学号 实验时间 2010年 12月 2 日指导教师成绩

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音 )由图4—2可知,这是一种常见的PCM编码方式,400HZ—500HZ的正弦信号由硬(3)数字电路产生数字音信号

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十三 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4是该电路的原理图。 图4—6忙音控制电路的原理图。

实验1 示波器函数信号发生器的原理及使用(实验报告之实验数据表)

实验1 示波器、函数信号发生器的原理及使用 【实验目的】 1. 了解示波器、函数信号发生器的工作原理。 2. 学习调节函数信号发生器产生波形及正确设置参数的方法。 3. 学习用示波器观察测量信号波形的电压参数和时间参数。 4. 通过李萨如图形学习用示波器观察两个信号之间的关系。 【实验仪器】 1. 示波器DS5042型,1台。 2. 函数信号发生器DG1022型,1台。 3. 电缆线(BNC 型插头),2条。 【实验内容与步骤】 1. 利用示波器观测信号的电压和频率 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。 图1-1 函数信号发生器生成的正、余弦信号的波形 学生姓名/学号 指导教师 上课时间 第 周 节

(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表 表1-1 正余弦信号的电压和时间参数的测量 电压参数(V)时间参数 峰峰值最大值最小值频率(Hz)周期(ms)正弦信号 3sin(200πt) 余弦信号 3cos(200πt) 2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。 图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形 3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形 (1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45o),观测并记录两正弦信号的李萨如图形于图1-3中。 (2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135o),观测并记录两正弦信号的李萨如图形于图1-3中。

信号发生器的原理及应用

实验一信号发生器的原理及应用 一、实验目的 (1)熟悉直接数字合成双路函数信号发生器的工作原理以及面板装置及功能; (2)会运用UTG2025A型数字信号合成信号发生器产生标准信号和调制信号。 二、实验设备 (1)UTG2025A型函数/任意波形信号发生器1台; (2)UTD2102C数字存储示波器各1台。 三、实验原理 函数信号发生器是能产生多种特定时间函数波形(如正弦波、方波、三角波 等)供测试用的信号发生器。典型函数信号发生器由输入单元、内/外转换电路、 波形产生电路、频段转换器、扫频电路、占空比和频率调节电路、微处理器、A/D 转换器、直流功率放大器和计数显示器等组成,其电路原理方框图如下所示: 图1典型函数信号发生器电路原理框图 其中波形产生电路、频率调整电路、占空比调整电路、内外扫频控制电路、测频 单元电路等具体电路原理与分析见教材《电子测量技术》P67-P71页内容。 四、实验内容及步骤 4.1 产生标准信号 4.1.1 产生正弦波信号

实验内容:产生一个20MHz、峰峰值100mV、直流偏置-150mV的正弦波信号。 1 实验步骤: (1)确保仪器正确连接后,打开开关,等仪器自检回到主菜 单;(2)按【menu】→【波形】→【正弦波】,如下图所示: (3)按【menu】→【波形】→【参数】 选择【频率】、【幅度】、【直流偏移】、【相位】不同功能按钮进行设 置:可以用三种方法来输入频率值:(其他数字量输入类似) ①通过按方向键来移动选择光标,再通过多功能按钮来增加、减少频率值; ②通过多功能按钮选中再逆时针、顺时针旋转来增加、减少频率值; ③通过数字键盘输入:进入频率设置状态后,当您按下数字键盘任意一个按键后,屏幕弹出输入窗口,如下图所示: 键入数字后再分别选择不同单位。

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版

6 设计总结 7仪器仪表明细清单 8 参考文献 1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。

2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz 等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波UP-P≤24V,三角波UP-P=8V,正弦波UP-P>1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。 2.函数发生器总方案及原理框图

低频信号发生器电路图制作以及调试

低频信号发生器电路图制作以及调试 1 画原理图 本设计中要求用Protel软件完成原理图以及PCB板。我用的是Protel2004 版本。电路原理图的设计是印制电路板设计中的第一步,也是非常重要的一步。电路原理图设计得好坏将直接影响到后面的工作。首先,原理图的正确性是最基本的要求,因为在一个错误的基础上所进行的工作是没有意义的;其次,原理图应该布局合理,这样不仅可以尽量避免出错,也便于读图、便于查找和纠正错误;最后,在满足正确性和布局合理的前提下应力求原理图的美观。 电路原理图的设计过程可分为以下几个步骤: 1、设置电路图纸参数及相关信息根据电路图的复杂程度设置图纸的格式、尺寸、方向等参数以及与设计有关的信息,为以后的设计工作建立一个合适的工作平面。 2、装入所需要的元件库将所需的元件库装入设计系统中,以便从中查找和选定所需的元器件。 3、设置元件将选定的元件放置到已建立好的工作平面上,并对元件在工作平面上的位置进行调整,对元件的序号、封装形式、显示状态等进行定义和设置,以便为下一步的布线工作打好基础。 4、电路图布线利用Protel 2004所提供的各种工具、命令进行画图工作,将事先放置好的元器件用具有电气意义的导线、网络标号等连接起来,布线结束后,一张完整的电路原理图基本完成。 5、调整、检查和修改利用Prote2004所提供的各种工具对前面所绘制的原理图做进一步的调整和修改。 6、补充完善对原理图做一些相应的说明、标注和修饰,增加可读性和可观性。 2 硬件单元电路调试 对于本波形法发生器,其硬件电路的调试最重要的地方在于板子制作的前期一

定要保证其质量,尽量减少因虚焊等因不细心造成的故障。将元件焊接完毕后,为了方便调试,采用分块调试的方法。电路由多个模块组成,D/A 转换 电路、显示电路、电源电路、按键电路、复位电路。因为这次在焊点的时候比较细心,所以焊得很结实,检验的时候,未发现有虚焊的问题。 5.2.1 焊电路 设计好电路图,开始焊电路板,刚开始觉得线路很简单,所以电路排版没花心思,真正开始焊的时候才发现相当麻烦,导线用去很多,看起来有点乱。由于元气件的管脚图并不跟原理图中一样,所以必须先查阅资料弄明白各个器件的封装,像LED先用万用表检测是共阴还是共阳,每个管脚对应哪一段也可以检测。还有四脚的按键也要测出哪两脚是相通的等等。 5.2.2 硬件电路的总体检查 电路板焊完之后,应该首先认真细致地检查一遍,确认无误后方能通电。通电前检查,主要检查以下内容: 第一,根据硬件电气原理图和装配图仔细检查线路的正确性,并检查元器件安装是否正确。尤其注意的是芯片、二极管和开关管的极性、电容器的耐压和极性、电阻的阻值和功率是否与设计图纸相符,重点检查系统总线间或总线与其它信号线间是否存在短路;第二,检查焊接点是否牢固,特别要仔细检查有无漏焊和错焊;对于靠得很近的相邻焊点,要注意检查金属毛刺和是否短路,必要时可用欧姆表进行测量;第三,在不加电的情况下,插上所有元器件,为联机调试作准备。确保电源和地无故障之后,再通电,然后检查各电源+5V、+12V 和-12V电压数值的正确性。排除可能出现的故障后,再进行各单元电路调试。 5.2.3 单元电路调试 1 、单片机最小系统调试 按照前面设计的单片机最小系统和电源,焊接并插上相应的元器件,连好线,检查正确无误后,接上电源,用示波器测试单片机的时钟波形。时钟波形和频率正确,进行下一步检查。 切断电源,空出单片机AT89S51的位置,并在此位置上插入仿真器的40芯

相关主题