搜档网
当前位置:搜档网 › 电路版图设计的常见问题

电路版图设计的常见问题

电路版图设计的常见问题
电路版图设计的常见问题

Pcb板电路版图设计的常见问题

问题1:什么是零件封装,它和零件有什么区别?

答:(1)零件封装是指实际零件焊接到电路板时所指示的外观和焊点位置。

(2)零件封装只是零件的外观和焊点位置,纯粹的零件封装仅仅是空间的概念,因此不同的零件可以共用同一个零件封装;另一方面,同种零件也可以有不同的封装,如RES2代表电阻,它的封装形式有AXAIL0.4 、AXAIL0.3 、AXAIL0.6等等,所以在取用焊接零件时,不仅要知道零件名称还要知道零件的封装。

(3) 零件的封装可以在设计电路图时指定,也可以在引进网络表时指定。设计电路图时,可以在零件属性对话框中的Footprint设置项内指定,也可以在引进网络表时也可以指定零件封装。

问题2:导线、飞线和网络有什么区别?

答:导线也称铜膜走线,简称导线,用于连接各个焊点,是印刷电路板最重要的部分,印刷电路板设计都是围绕如何布置导线来进行的。

与导线有关的另外一种线,常称之为飞线也称预拉线。飞线是在引入网络表后,系统根据规则生成的,用来指引布线的一种连线。

飞线与导线是有本质的区别的。飞线只是一种形式上的连线,它只是形式上表示出各个焊点间的连接关系,没有电气的连接意义。导线则是根据飞线指示的焊点间连接关系布置的,具有电气连接意义的连接线路。

网络和导线是有所不同的,网络上还包括焊点,因此在提到网络时不仅指导线而且还包括和导线相连的焊点。

问题3:内层和中间层有什么区别?

答:中间层和内层是两个容易混淆的概念。中间层是指用于布线的中间板层,该层中布的是导线;内层是指电源层或地线层,该层一般情况下不布线,它是由整片铜膜构成。

问题4:什么是内部网络表和外部网络表,两者有什么区别?

答:网络表有外部网络表和内部网络表之分。外部网络表指引入的网络表,即Sch 或者其他原理图设计软件生成的原理图网络表;内部网络表是根据引入的外部网络表,经过修改后,被PCB系统内部用于布线的网络表。严格的来说,这两种网络表是完全不同的概念,但读者可以不必严格区分。

问题5:网络表管理器有什么作用?

答:第一,引入网络表,这种网络表的引入过程实际上是将原理图设计的数据加载到印刷电路板设计系统PCB的过程。PCB设计系统中数据的所有变化,都可以通过网络宏(Netlist Macro)来完成,系统通过比较、分析网络表文件和PCB系统的内部数据,自动产生网络宏。

第二,可以利用网络表管理器直接在PCB系统中编辑电路板各个组件间的连接关

系,形成网络表。

问题6:什么是类,引入类的概念有什么好处?

答:所谓类就是指具有相同意义的单元组成的集合。PCB中类定义是对用户开放的,用户可以自己定义类的意义及类的组成。PCB中引入类主要有两个作用:

(1) 便于布线F在电路板布线过程中,有些网络需要作特殊的处理,如一些重要的数据线为了避免电路板上其他组件的干扰,在布线时往往需要加大这些数据线和和其他组件间的安全间距。可以将这些数据线归成一个类,在设置自动布线安全间距规则时可以将这个类添加到规则中,并且适当加大安全间距,那么自动布线时,这个类中的所有数据线的安全间距都被加大;在电路板布线过程中,电源和接地线往往需要加粗,以确保连接的可靠性,可以将电源和接地线归为一类,在设置自动布线导线宽度(Width Constraint)规则时,可以将这个类添加到规则中,并且适当加大导线宽度,那么自动布线时,这个类中的电源和接地线都会变宽。

(2) 便于管理电路板组件F对于一个大型的电路板,它上面有很多零件封装,还有成千上万条网络,很杂乱,利用类可以很方便的管理电路板。例如将电路板中的所有输入网络归类,在寻找某个输入网络时,只需在这个输入网络类里查找即可;也可以将电路板中的所有限压电阻归类,在寻找某个限压电阻时,只需在这个限压电阻类里查找即可。

问题7:如何将外加焊点加入到网络中?

答:可先将焊点加入到电路板中,然后双击焊点,打开焊点属性设置对话框,在Advaced中的Net项中选择合适的网络,即可完成焊点的放置。

问题8:内层分割有什么用处?

答:分割出来的内层可以用来连接一些重要的线路,即可以提高抗干扰能力也可以对重要的电路起保护作用。

问题9:敷铜有什么作用,应该注意些什么?

答:敷铜的主要作用是提高电路板的抗干扰能力,如果要对线路进行包导线或补泪滴,那么敷铜应该放在最后进行。

PCB设计中的注意事项

作为一个电子工程师设计电路是一项必备的硬功夫,但是原理设计再完美,如果电路板设计不合理性能将大打折扣,严重时甚至不能正常工作。根据我的经验,我总结出以下一些PCB设计中应该注意的地方,希望能对您有所启示。

不管用什么软件,PCB设计有个大致的程序,按顺序来会省时省力,因此我将按制作流程来介绍一下。(由于protel界面风格与windows视窗接近,操作习惯也相近,且有强大的仿真功能,使用的人比较多,将以此软件作说明。)

原理图设计是前期准备工作,经常见到初学者为了省事直接就去画PCB板了,这样将得不偿失,对简单的板子,如果熟练流程,不妨可以跳过。但是对于初学者一定要按流程来,这样一方面可以养成良好的习惯,另一方面对复杂的电路也只有这样才能避免出错。

在画原理图时,层次设计时要注意各个文件最后要连接为一个整体,这同样对以后的工作有重要意义。由于,软件的差别有些软件会出现看似相连实际未连(电气性能上)的情况。如果不用相关检测工具检测,万一出了问题,等板子做好了才发现就晚了。因此一再强调按顺序来做的重要性,希望引起大家的注意。

原理图是根据设计的项目来的,只要电性连接正确没什么好说的。下面我们重点讨论一下具体的制板程序中的问题。

l、制作物理边框

封闭的物理边框对以后的元件布局、走线来说是个基本平台,也对自动布局起着约束作用,否则,从原理图过来的元件会不知所措的。但这里一定要注意精确,否则以后出现安装问题麻烦可就大了。还有就是拐角地方最好用圆弧,一方面可以避免尖角划伤工人,同时又可以减轻应力作用。以前我的一个产品老是在运输过程中有个别机器出现面壳PCB板断裂的情况,改用圆弧后就好了。

2、元件和网络的引入

把元件和网络引人画好的边框中应该很简单,但是这里往往会出问题,一定要细心地按提示的错误逐个解决,不然后面要费更大的力气。这里的问题一般来说有以下一些:

元件的封装形式找不到,元件网络问题,有未使用的元件或管脚,对照提示这些问题可以很快搞定的。

3、元件的布局

元件的布局与走线对产品的寿命、稳定性、电磁兼容都有很大的影响,是应该特别注意的地方。一般来说应该有以下一些原则:

3.l放置顺序

先放置与结构有关的固定位置的元器件,如电源插座、指示灯、开关、连接件之类,这些器件放置好后用软件的LOCK功能将其锁定,使之以后不会被误移动。再放置线路上的特殊元件和大的元器件,如发热元件、变压器、IC等。最后放置小器件。

3.2注意散热

元件布局还要特别注意散热问题。对于大功率电路,应该将那些发热元件如功率管、变压器等尽量靠边分散布局放置,便于热量散发,不要集中在一个地方,也不要高电容太近以免使电解液过早老化。

4、布线

布线原则

走线的学问是非常高深的,每人都会有自己的体会,但还是有些通行的原则的。

◆高频数字电路走线细一些、短一些好

◆大电流信号、高电压信号与小信号之间应该注意隔离(隔离距离与要承受的耐压有关,通常情况下在2KV时板上要距离2mm,在此之上以比例算还要加大,例如若要

承受3KV的耐压测试,则高低压线路之间的距离应在3.5mm以上,许多情况下为避免爬电,还在印制线路板上的高低压之间开槽。)

◆两面板布线时,两面的导线宜相互垂直、斜交、或弯曲走线,避免相互平行,以减小寄生耦合;作为电路的输人及输出用的印制导线应尽量避兔相邻平行,以免发生回授,在这些导线之间最好加接地线。

◆走线拐角尽可能大于90度,杜绝90度以下的拐角,也尽量少用90度拐角

◆同是地址线或者数据线,走线长度差异不要太大,否则短线部分要人为走弯线作补偿

◆走线尽量走在焊接面,特别是通孔工艺的PCB

◆尽量少用过孔、跳线

◆单面板焊盘必须要大,焊盘相连的线一定要粗,能放泪滴就放泪滴,一般的单面板厂家质量不会很好,否则对焊接和RE-WORK都会有问题

◆大面积敷铜要用网格状的,以防止波焊时板子产生气泡和因为热应力作用而弯曲,但在特殊场合下要考虑GND的流向,大小,不能简单的用铜箔填充了事,而是需要去走线

◆元器件和走线不能太靠边放,一般的单面板多为纸质板,受力后容易断裂,如果在边缘连线或放元器件就会受到影响

◆必须考虑生产、调试、维修的方便性

对模拟电路来说处理地的问题是很重要的,地上产生的噪声往往不便预料,可是一旦产生将会带来极大的麻烦,应该未雨绸缎。对于功放电路,极微小的地噪声都会因为后级的放大对音质产生明显的影响;在高精度A/D转换电路中,如果地线上有高频分

量存在将会产生一定的温漂,影响放大器的工作。这时可以在板子的4角加退藕电容,一脚和板子上的地连,一脚连到安装孔上去(通过螺钉和机壳连),这样可将此分量虑去,放大器及AD也就稳定了。

另外,电磁兼容问题在目前人们对环保产品倍加关注的情况下显得更加重要了。一般来说电磁信号的来源有3个:信号源,辐射,传输线。晶振是常见的一种高频信号源,在功率谱上晶振的各次谐波能量值会明显高出平均值。可行的做法是控制信号的幅度,晶振外壳接地,对干扰信号进行屏蔽,采用特殊的滤波电路及器件等。

需要特别说明的是蛇形走线,因为应用场合不同其作用也是不同的,在电脑的主板中用在一些时钟信号上,如PCIClk、AGP-Clk,它的作用有两点:1、阻抗匹配2、滤波电感。

对一些重要信号,如INTELHUB架构中的HUBLink,一共13根,频率可达233MHZ,要求必须严格等长,以消除时滞造成的隐患,这时,蛇形走线是唯一的解决办法。

一般来讲,蛇形走线的线距>=2倍的线宽;若在普通PCB板中,除了具有滤波电感的作用外,还可作为收音机天线的电感线圈等等。

5、调整完善

完成布线后,要做的就是对文字、个别元件、走线做些调整以及敷铜(这项工作不宜太早,否则会影响速度,又给布线带来麻烦),同样是为了便于进行生产、调试、维修。

敷铜通常指以大面积的铜箔去填充布线后留下的空白区,可以铺GND的铜箔,也可以铺VCC的铜箔(但这样一旦短路容易烧毁器件,最好接地,除非不得已用来加大电源的导通面积,以承受较大的电流才接VCC)。包地则通常指用两根地线(TRAC)包住一撮有特殊要求的信号线,防止它被别人干扰或干扰别人。

如果用敷铜代替地线一定要注意整个地是否连通,电流大小、流向与有无特殊要求,以确保减少不必要的失误。

6、检查核对网络

有时候会因为误操作或疏忽造成所画的板子的网络关系与原理图不同,这时检察核对是很有必要的。所以画完以后切不可急于交给制版厂家,应该先做核对,后再进行后续工作。

7、使用仿真功能

完成这些工作后,如果时间允许还可以进行软件仿真。特别是高频数字电路,这样可以提前发现一些问题,大大减少以后的调试工作量。

PCB电路板设计注意事项教学内容

P C B电路板设计注意 事项

作为一个电子工程师设计电路是一项必备的硬功夫,但是原理设计再完美,如果电路板设计不合理性能将大打折扣,严重时甚至不能正常工作。根据我的经验,我总结出以下一些PCB设计中应该注意的地方,希望能对您有所启示。 不管用什么软件,PCB设计有个大致的程序,按顺序来会省时省力,因此我将按制作流程来介绍一下。(由于protel界面风格与windows视窗接近,操作习惯也相近,且有强大的仿真功能,使用的人比较多,将以此软件作说明。) 原理图设计是前期准备工作,经常见到初学者为了省事直接就去画PCB板了,这样将得不偿失,对简单的板子,如果熟练流程,不妨可以跳过。但是对于初学者一定要按流程来,这样一方面可以养成良好的习惯,另一方面对复杂的电路也只有这样才能避免出错。 在画原理图时,层次设计时要注意各个文件最后要连接为一个整体,这同样对以后的工作有重要意义。由于,软件的差别有些软件会出现看似相连实际未连(电气性能上)的情况。如果不用相关检测工具检测,万一出了问题,等板子做好了才发现就晚了。因此一再强调按顺序来做的重要性,希望引起大家的注意。 原理图是根据设计的项目来的,只要电性连接正确没什么好说的。下面我们重点讨论一下具体的制板程序中的问题。 l、制作物理边框 封闭的物理边框对以后的元件布局、走线来说是个基本平台,也对自动布局起着约束作用,否则,从原理图过来的元件会不知所措的。但这里一定要注意精确,否则以后出现安装问题麻烦可就大了。还有就是拐角地方最好用圆弧,一方面可以避免尖角划伤工人,同时又可以减轻应力作用。以前我的一个产品老是在运输过程中有个别机器出现面壳PCB板断裂的情况,改用圆弧后就好了。 2、元件和网络的引入 把元件和网络引人画好的边框中应该很简单,但是这里往往会出问题,一定要细心地按提示的错误逐个解决,不然后面要费更大的力气。这里的问题一般来说有以下一些:元件的封装形式找不到,元件网络问题,有未使用的元件或管脚,对照提示这些问题可以很快搞定的。 3、元件的布局 元件的布局与走线对产品的寿命、稳定性、电磁兼容都有很大的影响,是应该特别注意的地方。一般来说应该有以下一些原则: 3.l放置顺序 先放置与结构有关的固定位置的元器件,如电源插座、指示灯、开关、连接件之类,这些器件放置好后用软件的LOCK功能将其锁定,使之以后不会被误移动。再放置线路上的特殊元件和大的元器件,如发热元件、变压器、IC等。最后放置小器件。 3.2注意散热

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

答辩常见问题合集

答辩常见问题合集 1.本课题的选课背景、意义等等? 这个论文中有的,也都是一些套话。我就不答了,我整理的都是技术性的。 2.电路的主要工作原理是什么,元器件的作用等等? 看原理图视频讲解,每个元器件的工作原理都有的 3.数码管采用的是什么扫描方式? 一位数码管的设计就是采用静态扫描的方式,因为一位数码管是8个段选1个位选,如果采用动态,那就是得用9个IO口,而且程序也比较麻烦,如果选用静态那么位选接电源或地(共阳接电源,共阴接地),段选接IO口,就可以控制显示了,这样只用8个IO口就ok,而且程序比较简单。多位一体的数码管只能用动态扫描的方式,因为硬件本身就将每个位的段都接到一起了,所以只能动态控制了。 4.蜂鸣器或继电器的驱动三极管为什么选用pnp型的(9012、8550),而不是npn型的(9013、8050)? 因为单片机刚一上电的时候所有的IO口会有一个短暂的高电平。如果选用npn型的,即使程序上将IO口拉低,蜂鸣器或继电器也会响一小下或吸合一下,为了避免这种情况发生,就选用pnp型的。因为我们想控制蜂鸣器或继电器工作单片机的IO口要低电平,这样就避免了,因为我们不可能刚一通电就让蜂鸣器响或继电器吸合。避免了不必要的麻烦。 5.液晶三脚接的两个电阻是怎么算出来的? 经过查阅资料得知(买液晶时给的资料),液晶3脚是灰度调节引脚,灰度正常时是0.5~1V左右,那么可以用两个电阻分压或电位器分压。 电位器得调节比较麻烦,采用10k接电源1k接地刚刚好,也不用调节,焊接好就可以用。 6.为什么继电器吸合或风扇转动时,液晶屏幕会变暗? 从问题5中可以了解大概,就是液晶的灰度是电压控制的,当继电器吸合或风扇转动时,需要的电流较大,而我们采用的电源线或电池盒供电会有一定的压降。这样液晶的3脚采集的电压就高了。所以灰度就不合适了。解决的办法是,电源尽量用好一点的,或换粗一点的电源线供电(主要的压降都在电源线上)。 7.超声波测距模块的工作原理? 一个控制口发一个10US以上的高电平,就可以在接收口等待高电平输出.一有输出就可以开定时器计时,当此口变为低电平时就可以读定时器的值,此时就为此次测距的时间,方可算出距离.如此不断的周期测,就可以达到你移动测量的值了。测距部分的程序不是我们写的,是买模块的时候厂家给的例程,只需要移植应用就好。 8.你的程序是怎么下载进去的? 详情请参考:(复制到浏览器打开) https://www.sodocs.net/doc/362473643.html,/item.htm?spm=a1z10.5.w4002-340763034.22.aomoi1&id=39925729757

PCB中常见错误大全

PCB中常见错误大全! 跟着小编的脚步一起来看看这些PCB常见错误吧,加深印象,多多巩固,也许你就是下一个PCB设计大咖! 1、原理图常见错误 1)ERC报告管脚没有接入信号: a. 创建封装时给管脚定义了I/O属性; b.创建元件或放置元件时修改了不一致的grid属性,管脚与线没有连上; c. 创建元件时pin方向反向,必须非pin name端连线; d.而最常见的原因,是没有建立工程文件,这是初学者最容易犯的错误。 2)元件跑到图纸界外:没有在元件库图表纸中心创建元件。 3)创建的工程文件网络表只能部分调入pcb:生成netlist时没有选择为global。

4)当使用自己创建的多部分组成的元件时,千万不要使用annotate. 2、PCB中常见错误 1)网络载入时报告NODE没有找到: a. 原理图中的元件使用了pcb库中没有的封装; b. 原理图中的元件使用了pcb库中名称不一致的封装; c. 原理图中的元件使用了pcb库中pin number不一致的封装。如三极管:sch中pin number 为e,b,c, 而pcb中为1,2,3。 2)打印时总是不能打印到一页纸上: a. 创建pcb库时没有在原点; b. 多次移动和旋转了元件,pcb板界外有隐藏的字符。选择显示所有隐藏的字符,缩小pcb, 然后移动字符到边界内。 3)DRC报告网络被分成几个部分: 表示这个网络没有连通,看报告文件,使用选择CONNECTED COPPER查找。 如果作较复杂得设计,尽量不要使用自动布线。

3、PCB制造过程中常见错误 1)焊盘重叠: a.造成重孔,在钻孔时因为在一处多次钻孔导致断钻及孔的损伤。 b.多层板中,在同一位置既有连接盘,又有隔离盘,板子做出表现为? 隔离,连接错误。2)图形层使用不规范: a.违反常规设计,如元件面设计在Bottom层,焊接面设计在TOP层, 使人造成误解。 b.在各层上有很多设计垃圾,如断线,无用的边框,标注等。 3)字符不合理: a.字符覆盖SMD焊片,给PCB通断检测及元件焊接带来不便。 b.字符太小,造成丝网印刷困难,太大会使字符相互重叠,难以分辨,字体一般>40mil。4)单面焊盘设置孔径:

模拟集成电路版图设计和绘制

电子科技大学 实验报告 学生姓名:连亚涛/王俊颖学号:2011031010032/0007指导教师:王向展实验地点:微固楼606实验时间:2014.6. 一、实验室名称:微电子技术实验室 二、实验项目名称:模拟集成电路版图设计和绘制 三、实验学时:4 四、实验原理 参照实验指导书。 五、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计, 掌握基本的IC版图布局布线技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计。 六、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、根据设计指标要求,自主完成版图设计,并掌握布局布线的基本技巧。 七、实验仪器设备 (1)工作站或微机终端一台

八、实验步骤 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握CadenceEDA 仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的运算放大器电路版图,过程中应注意设计规则。 九、实验数据及结果分析: 1、通过本次实验掌握了UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。达到了实验目的。 2、根据设计指标要求,设计出运算放大器模拟集成电路版图。 (备注:小组共同完成) 十、实验结论: 通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,完成了运算放大器集成电路版图的设计,其难点是版图的布局布线和设计规则的理解。 十一、总结及心得体会: 2学会了cadence在linux下的使用,在回去安装Ubuntu的过程中发生了很多错误,有了一定的提高,让我了解到使用免费破解的专业软件的不易。其次,cadence使用过程中,有很多技巧值得认真学习,如左手键盘右手鼠标操作,以及先画基本的接触孔,再画mos管,再用已有的Mos管拼接出其他宽长比的方法。同时,学会了如何提高画图效率的“偷懒”的办法。 当然,还有很多的不足,比如有些地方容易忽略版图的规则没有全局考量,造成重复赶工。在一些技巧上,如画不规则多边形保护环的方法还是太笨,没有用聪明的方法(多次shift+c)。

电子电路设计之C51单片机常见问题

电子电路设计之C51单片机常见问题 笔者在工作中实际使用过AT89C2051、AT89C51、AT89C52 等51 单片机,后来应用台湾新茂、华邦等厂家的51 单片机。实践中遇到许多问题, 都是书本上没有的。我印象中,书本上的知识只有一页插图了,就是cpu 的时 序图。最初直接用汇编写程序,然后是C51 嵌套汇编。编译器曾用伟福系列编 译器,后来使用keil 等,感觉这些编译器大同小异。需要熟练的C 语言基础, 加上单片机应用的特殊性。 本文就51 单片机应用中一些常见问题作个总结,这都是我实际碰到过 的,因为文章篇幅所限,这些问题远远不足以表达单片机的常见问题。希望对 初学者有所帮助,文中不完善的地方务请指点。谢谢! 1:C51 编译器如何区分位地址和字节地址 是靠预定义实现的,比如:sfr P0 = 0x80; sbit P0_0 = 0x80;前者声明了P0 端口地址位于0x80,后者说明了P0 端口的bit0,即P0.0 位于位地址空间0x80 处。这2 个0x80 具有完全不同的含义,靠关键字sfr 和sbit 来区别。这样当程 序被编译时,编译器会依此编译成相应的汇编语言。例如: C51 语句:P0 = 1; P0 声明为sfr,因此编译成:mov 80h,01h,将把0x01 数据送入0x80 单元,由于0x80 单元物理上对应P0 端口,因此,P0.0 脚将输出高电平(其实 是呈现高阻态,P0 口独有的),其他.1-.7 脚输出低电平。 C51 语句:P0_0 = 1; P0_0 声明为sbit,因此编译成:setb 80h,这将把位地址空间的0x80 地址的bit 的值置1。这个位正是P0 口的bit0,执行后,P0.0 将输出高阻态。而 P0.1-.7 不会变化。

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

放大器电路设计中的常见问题经验总结

放大器电路设计中的常见问题经验总结转载自:https://www.sodocs.net/doc/362473643.html,/thread-160429-1-1.html 与分立器件相比,现代集成运算放大器(op amp)和仪表放大器(in-amp)为设计工程师带来了许多好处。虽然提供了许多巧妙、有用并且吸引人的电路。往往都是这样,由于仓促地组装电路而会忽视了一些非常基本的问题,从而导致电路不能实现预期功能- 或者可能根本不工作。本文将讨论一些最常见的应用问题,并给出实用的解决方案。 AC耦合时缺少DC偏置电流回路 最常遇到的一个应用问题是在交流(AC)耦合运算放大器或仪表放大器电路中没有提供偏置电流的直流(DC)回路。在图1中,一只电容器与运算放大器的同相输入端串联以实现AC耦合,这是一种隔离输入电压(VIN)的DC分量的简单方法。这在高增益应用中尤其有用,在那些应用中哪怕运算放大器输入端很小的直流电压都会限制动态范围,甚至导致输出饱和。然而,在高阻抗输入端加电容耦合,而不为同相输入端的电流提供DC通路,会出现问题。 图1.错误的运算放大器AC耦合输入

实际上,输入偏置电流会流入耦合的电容器,并为它充电,直到超过放大器输入电路的共模电压的额定值或使输出达到极限。根据输入偏置电流的极性,电容器会充电到电源的正电压或负电压。放大器的闭环DC增益放大偏置电压。 这个过程可能会需要很长时间。例如,一只场效应管(FET)输入放大器,当 1 pA的偏置电流与一个0.1μF电容器耦合时,其充电速率I/C为10–12/10–7=10 μV/s,或每分钟600μV。如果增益为100,那么输出漂移为每分钟0.06 V。因此,一般实验室测试(使用AC耦合示波器)无法检测到这个问题,而电路在数小时之后才会出现问题。显然,完全避免这个问题非常重要。 图2.正确的双电源供电运算放大器AC耦合输入方法 图2示出了对这常见问题的一种简单的解决方案。这里,在运算放大器输入端和地之间接一只电阻器,为输入偏置电流提供一个对地回路。为了使输入偏置电流造成的失调电压最小,当使用双极性运算放大器时,应该使其两个输入端的偏置电流相等,所以通常应将R1的电阻值设置成等于R2和R3的并联阻值。

集成电路版图设计笔试面试大全

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

集成电路版图设计调查报告

关于IC集成电路版图设计的调查报告 IC版图设计是指将前端设计产生的门级网表通过EDA设计工具进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程,简单来说,是将所设计的电路转化为图形描述格式,即设计工艺中所需要的各种掩模板,而掩模板上的几何图形包括如下几层:n阱、有源区、多晶硅、n+和p+注入、接触孔以及金属层。 一. 版图设计流程 集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。在整个设计过程中,版图(layout)设计或者称作物理设计(physical design)是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。概括说来,对于复杂的版图设计,一般分成若干个子步骤进行: 1.模块划分。为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 2.布局布线。布局图应该和功能框图或者电路图大体一致,然后根据各个模块的面积大小进行调整,接着完成模块间的互连,并进一步优化布线结果。 3.版图压缩。压缩是布线完成后的优化处理过程,试图进一步减小芯片的占用面积。 4.版图检查。版图检查主要包括三个部分:1. Design Rules Checker(DR C)。DRC有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查,程序就会按照规则检查文件运行,发现错误时,会在错误的地方做出标记与解释。2. Electrical Rules Checker(ERC),它是用来检查线路短路,线路开路以及floating结点。ERC检查短路错误后,会将错误提示局限在最短的连接通路上。3. Layout Versus Schematic(LVS),LVS比较IC版图和原理图,报告版图连接和原理图的不一致,并进行修改直到版图与电路图完全一致为止。 5.版图修改。此时的工作主要包括检查Label是否正确,label所选的lay er是否正确;Power & Ground连接是否有问题,得到的files是否确实可靠,检查netlist中器件类型的命名是否规范等。

PCB设计中常见设计错误大总结

PCB设计过程中最容易犯的错误汇总。 一、字符的乱放 1、字符盖焊盘SMD焊片,给印制板的通断测试及元件的焊接带来不便。 2、字符设计的太小,造成丝网印刷的困难,太大会使字符相互重叠,难以分辨。 二、图形层的滥用 1、在一些图形层上做了一些无用的连线,本来是四层板却设计了五层以上的线路,使造成误解。 2、设计时图省事,以Protel软件为例对各层都有的线用Board层去画,又用Board层去划标注线,这样在进行光绘数据时,因为未选Board层,漏掉连线而断路,或者会因为选择Board层的标注线而短路,因此设计时保持图形层的完整和清晰。 3、违反常规性设计,如元件面设计在Bottom层,焊接面设计在Top,造成不便。 三、焊盘的重叠 1、焊盘(除表面贴焊盘外)的重叠,意味孔的重叠,在钻孔工序会因为在一处多次钻孔导致断钻头,导致孔的损伤。 2、多层板中两个孔重叠,如一个孔位为隔离盘,另一孔位为连接盘(花焊盘),这样绘出底片后表现为隔离盘,造成的报废。 四、单面焊盘孔径的设置 1、单面焊盘一般不钻孔,若钻孔需标注,其孔径应设计为零。如果设计了数值,这样在产生钻孔数据时,此位置就出现了孔的座标,而出现问题。 2、单面焊盘如钻孔应特殊标注。 五、用填充块画焊盘 用填充块画焊盘在设计线路时能够通过DRC检查,但对于加工是不行的,因此类焊盘不能

直接生成阻焊数据,在上阻焊剂时,该填充块区域将被阻焊剂覆盖,导致器件焊装困难。 六、电地层又是花焊盘又是连线 因为设计成花焊盘方式的电源,地层与实际印制板上的图像是相反的,所有的连线都是隔离线,这一点设计者应非常清楚。这里顺便说一下,画几组电源或几种地的隔离线时应小心,不能留下缺口,使两组电源短路,也不能造成该连接的区域封锁(使一组电源被分开)。 七、加工层次定义不明确 1、单面板设计在TOP层,如不加说明正反做,也许制出来的板子装上器件而不好焊接。 2、例如一个四层板设计时采用TOP mid1、mid2 bottom四层,但加工时不是按这样的顺序放置,这就要求说明。 八、设计中的填充块太多或填充块用极细的线填充 1、产生光绘数据有丢失的现象,光绘数据不完全。 2、因填充块在光绘数据处理时是用线一条一条去画的,因此产生的光绘数据量相当大,增加了数据处理的难度。 九、表面贴装器件焊盘太短 这是对通断测试而言的,对于太密的表面贴装器件,其两脚之间的间距相当小,焊盘也相当细,安装测试针,必须上下(左右)交错位置,如焊盘设计的太短,虽然不影响器件安装,但会使测试针错不开位。 十、大面积网格的间距太小 组成大面积网格线同线之间的边缘太小(小于0.3mm),在印制板制造过程中,图转工序在显完影之后容易产生很多碎膜附着在板子上,造成断线。 十一、大面积铜箔距外框的距离太近 大面积铜箔距外框应至少保证0.2mm以上的间距,因在铣外形时如铣到铜箔上容易造成铜

硬件电路板设计规范

硬件电路板设计规范(总36 页) -CAL-FENGHAI.-(YICAI)-Company One1 -CAL-本页仅作为文档封面,使用请直接删除

0目录 0目录............................................... 错误!未定义书签。

1概述............................................... 错误!未定义书签。 适用范围............................................ 错误!未定义书签。 参考标准或资料 ...................................... 错误!未定义书签。 目的................................................ 错误!未定义书签。2PCB设计任务的受理和计划............................ 错误!未定义书签。 PCB设计任务的受理................................... 错误!未定义书签。 理解设计要求并制定设计计划 .......................... 错误!未定义书签。3规范内容........................................... 错误!未定义书签。 基本术语定义........................................ 错误!未定义书签。 PCB板材要求: ....................................... 错误!未定义书签。 元件库制作要求 ...................................... 错误!未定义书签。 原理图元件库管理规范:......................... 错误!未定义书签。 PCB封装库管理规范............................. 错误!未定义书签。 原理图绘制规范 ...................................... 错误!未定义书签。 PCB设计前的准备..................................... 错误!未定义书签。 创建网络表..................................... 错误!未定义书签。 创建PCB板..................................... 错误!未定义书签。 布局规范............................................ 错误!未定义书签。 布局操作的基本原则............................. 错误!未定义书签。 热设计要求..................................... 错误!未定义书签。 基本布局具体要求............................... 错误!未定义书签。 布线要求............................................ 错误!未定义书签。 布线基本要求................................... 错误!未定义书签。 安规要求....................................... 错误!未定义书签。 丝印要求............................................ 错误!未定义书签。 可测试性要求........................................ 错误!未定义书签。 PCB成板要求......................................... 错误!未定义书签。

集成电路版图技巧总结

集成电路版图技巧总结 1、对敏感线的处理对敏感线来说,至少要做到的是在它的走线过程中尽量没有其他走线和它交叉。因为走线上的信号必然会带来噪声,交错纠缠的走线会影响敏感线的信号。 对于要求比较高的敏感线,则需要做屏蔽。具体的方法是,在它的上下左右都连金属线,这些线接地。比如我用M3做敏感线,则上下用M2和M4重叠一层,左右用M3走,这些线均接地。等于把它像电缆一样包起来。 2、匹配问题的解决电路中如果需要匹配,则要考虑对称性问题。比如1:8的匹配,则可以做成33的矩阵,“1”的放在正中间,“8”的放在四周。这样就是中心对称。如果是2:5的匹配,则可以安排成AABABAA的矩阵。 需要匹配和对称的电路器件,摆放方向必须一致。周围环境尽量一致。 3、噪声问题的处理噪声问题处理的最常用方法是在器件周围加保护环。N mos管子做在衬底上因此周围的guardring是Pdiff,在版图上是一层PPLUS,上面加一层DIFF,用CONTACT连M1。Pdiff接低电位。Pmos管子做在NWELL里面因此周围的GUARDING是Ndiff,在版图上先一层NPLUS,上面加一层DIFF,用CONTACT连M1。Ndiff接高电位。在一个模块周围为了和其他模块隔离加的保护环,用一圈NWELL,里面加NDIFF,接高电位。

电阻看类型而定,做在P衬底上的周围接PDIFF型guarding接地;做在NWELL里面的则周围接NDIFF型guarding接高电位。各种器件,包括管子,电容,电感,电阻都要接体电位。如果不是RF型的MOS管,则一般尽量一排N管一排P管排列,每排或者一堆靠近的同类型管子做一圈GUARDING,在P管和N管之间有走线不方便打孔的可以空出来不打。 4、版图对称性当电路需要对称的时候,需要从走线复杂度,面积等方面综合考虑。常见的对称实现方式: 一般的,画好一半,折到另一半去,复制实现两边的对称。 如果对称性要求高的,可以用质心对称的方式,把管子拆分成两个,四个甚至更多。 如把一个管子拆成两个可以AB BA的方式如果有四个管子,可以各拆成三个,用ABCDABCDABCD的方式五、布局布线布局布线是一个全局问题。在画较大的电路时候是很重要的。首先确定各模块的位置,在确定位置的时候需要考虑的问题主要有:各输入输出之间的连线最短,最方便;各模块接出去连PAD的各端口方便;高频线距离尽量短;输入输出之间相隔比较远等。这些问题需要在着手画各模块之前先有个安排。在画好各模块后摆放时会做调整,但大局不变。连线一般的规则是单数层金属和双数层金属垂直,比如一三五层连水平;二四六层连垂直。但这样的主要目的是各层能方便走线,排得密集。所以也不是死规则,在布线较稀疏的情况下可以做适量变通。在布线时最重要的问题

电路版图设计的常见问题

Pcb板电路版图设计的常见问题 问题1:什么是零件封装,它和零件有什么区别? 答:(1)零件封装是指实际零件焊接到电路板时所指示的外观和焊点位置。 (2)零件封装只是零件的外观和焊点位置,纯粹的零件封装仅仅是空间的概念,因此不同的零件可以共用同一个零件封装;另一方面,同种零件也可以有不同的封装,如RES2代表电阻,它的封装形式有AXAIL0.4 、AXAIL0.3 、AXAIL0.6等等,所以在取用焊接零件时,不仅要知道零件名称还要知道零件的封装。 (3) 零件的封装可以在设计电路图时指定,也可以在引进网络表时指定。设计电路图时,可以在零件属性对话框中的Footprint设置项内指定,也可以在引进网络表时也可以指定零件封装。 问题2:导线、飞线和网络有什么区别? 答:导线也称铜膜走线,简称导线,用于连接各个焊点,是印刷电路板最重要的部分,印刷电路板设计都是围绕如何布置导线来进行的。 与导线有关的另外一种线,常称之为飞线也称预拉线。飞线是在引入网络表后,系统根据规则生成的,用来指引布线的一种连线。 飞线与导线是有本质的区别的。飞线只是一种形式上的连线,它只是形式上表示出各个焊点间的连接关系,没有电气的连接意义。导线则是根据飞线指示的焊点间连接关系布置的,具有电气连接意义的连接线路。 网络和导线是有所不同的,网络上还包括焊点,因此在提到网络时不仅指导线而且还包括和导线相连的焊点。 问题3:内层和中间层有什么区别? 答:中间层和内层是两个容易混淆的概念。中间层是指用于布线的中间板层,该层中布的是导线;内层是指电源层或地线层,该层一般情况下不布线,它是由整片铜膜构成。 问题4:什么是内部网络表和外部网络表,两者有什么区别? 答:网络表有外部网络表和内部网络表之分。外部网络表指引入的网络表,即Sch 或者其他原理图设计软件生成的原理图网络表;内部网络表是根据引入的外部网络表,经过修改后,被PCB系统内部用于布线的网络表。严格的来说,这两种网络表是完全不同的概念,但读者可以不必严格区分。 问题5:网络表管理器有什么作用? 答:第一,引入网络表,这种网络表的引入过程实际上是将原理图设计的数据加载到印刷电路板设计系统PCB的过程。PCB设计系统中数据的所有变化,都可以通过网络宏(Netlist Macro)来完成,系统通过比较、分析网络表文件和PCB系统的内部数据,自动产生网络宏。 第二,可以利用网络表管理器直接在PCB系统中编辑电路板各个组件间的连接关

PCB电路板设计注意事项

作为一个电子工程师设计电路是一项必备的硬功夫,但是原理设计再完美,如果电路板设计不合理性能将大打折扣,严重时甚至不能正常工作。根据我的经验,我总结出以下一些PCB设计中应该注意的地方,希望能对您有所启示。 不管用什么软件,PCB设计有个大致的程序,按顺序来会省时省力,因此我将按制作流程来介绍一下。(由于protel界面风格与windows视窗接近,操作习惯也相近,且有强大的仿真功能,使用的人比较多,将以此软件作说明。) 原理图设计是前期准备工作,经常见到初学者为了省事直接就去画PCB板了,这样将得不偿失,对简单的板子,如果熟练流程,不妨可以跳过。但是对于初学者一定要按流程来,这样一方面可以养成良好的习惯,另一方面对复杂的电路也只有这样才能避免出错。 在画原理图时,层次设计时要注意各个文件最后要连接为一个整体,这同样对以后的工作有重要意义。由于,软件的差别有些软件会出现看似相连实际未连(电气性能上)的情况。如果不用相关检测工具检测,万一出了问题,等板子做好了才发现就晚了。因此一再强调按顺序来做的重要性,希望引起大家的注意。 原理图是根据设计的项目来的,只要电性连接正确没什么好说的。下面我们重点讨论一下具体的制板程序中的问题。 l、制作物理边框 封闭的物理边框对以后的元件布局、走线来说是个基本平台,也对自动布局起着约束作用,否则,从原理图过来的元件会不知所措的。但这里一定要注意精确,否则以后出现安装问题麻烦可就大了。还有就是拐角地方最好用圆弧,一方面可以避免尖角划伤工人,同时又可以减轻应力作用。以前我的一个产品老是在运输过程中有个别机器出现面壳PCB板断裂的情况,改用圆弧后就好了。 2、元件和网络的引入 把元件和网络引人画好的边框中应该很简单,但是这里往往会出问题,一定要细心地按提示的错误逐个解决,不然后面要费更大的力气。这里的问题一般来说有以下一些:元件的封装形式找不到,元件网络问题,有未使用的元件或管脚,对照提示这些问题可以很快搞定的。 3、元件的布局 元件的布局与走线对产品的寿命、稳定性、电磁兼容都有很大的影响,是应该特别注意的地方。一般来说应该有以下一些原则: 3.l放置顺序 先放置与结构有关的固定位置的元器件,如电源插座、指示灯、开关、连接件之类,这些器件放置好后用软件的LOCK功能将其锁定,使之以后不会被误移动。再放置线路上的特殊元件和大的元器件,如发热元件、变压器、IC等。最后放置小器件。 3.2注意散热

集成电路版图设计-反相器-传输门

集成电路版图设计 实验报告 学院:电气与控制工程学院班级: XXXXXXXXXX 学号:XXXXXXXX 姓名:XXXX 完成日期:2015年1月22日

一、实验要求 1、掌握Linux常用命令(cd、ls、pwd等)。 (1)cd命令。用于切换子目录。输入cd并在后面跟一个路径名,就可以直接进入到另一个子目录中;cd..返回根目录;cd返回主目录。(2)ls命令。用于列出当前子目录下所有内容清单。 (3)pwd命令。用于显示当前所在位置。 2、掌握集成电路设计流程。 模拟集成电路设计的一般过程: (1)电路设计。依据电路功能完成电路的设计。 (2)前仿真。电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。 (3)版图设计(Layout)。依据所设计的电路画版图。一般使用Cadence软件。 (4)后仿真。对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。 (5)后续处理。将版图文件生成GDSII文件交予Foundry流片。 3、掌握Cadence软件的使用 (1)使用Cadence SchematicEditor绘制原理图。 (2)由Schematic产生symbol。 (3)在测试电路中使用AnalogEnvironment工具进行功能测试。 (4)使用Cadence Layout Editor根据原理图绘制相应版图,以

0.6umCMOS设计规则为准。 (5)对所设计的版图进行DRC验证,查错并修改。 以PMOS为例,部分设计规则如下:(um) N-Well包含P+Active的宽度:1.8 MOS管沟道最小宽度:0.75最小长度:0.6 Active区伸出栅极Ploy的最小延伸长度:0.5 Contact最小尺寸:0.6*0.6 Contact与Contact之间的最小间距:0.7 Active包最小尺寸Contact的最小宽度:0.4 非最小尺寸Contac t的最小宽度:0.6 Active上的Contact距栅极Poly1的最小距离:0.6 Metal1包最小尺寸的Contact:0.3 Metal1与Metal1之间的最小间距:0.8

PCB电路版图设计的常见问题

PCB电路版图设计的常见问题 PCB设计中的注意事项 作为一个电子工程师设计电路是一项必备的硬功夫,然而原理设计再完美,假如电路板设计不合理性能将大打折扣,严峻时甚至不能正常工作。依照我的体会,我总结出以下一些PCB设计中应该注意的地点,期望能对您有所启发。 不管用什么软件,PCB设计有个大致的程序,按顺序来会省时省力,因此我将按制作流程来介绍一下。(由于protel界面风格与windows视窗接近,操作适应也相近,且有强大的仿真功能,使用的人比较多,将以此软件作说明。) 原理图设计是前期预备工作,经常见到初学者为了省事直截了当就去画PCB板了,如此将得不偿失,对简单的板子,假如熟练流程,不妨能够跃过。然而关于初学者一定要按流程来,如此一方面能够养成良好的适应,另一方面对复杂的电路也只有如此才能幸免出错。 在画原理图时,层次设计时要注意各个文件最后要连接为一个整体,这同样对以后的工作有重要意义。由于,软件的差别有些软件会显现看似相连实际未连(电气性能上)的情形。假如不用相关检测工具检测,万一出了问题,等板子做好了才发觉就晚了。因此一再强调按顺序来做的重要性,期望引起大伙儿的注意。 原理图是依照设计的项目来的,只要电性连接正确没什么好说的。下面我们重点讨论一下具体的制板程序中的问题。 l、制作物理边框 封闭的物理边框对以后的元件布局、走线来说是个差不多平台,也对自动布局起着约束作用,否则,从原理图过来的元件会不知所措的。但那个地点一定要注意精确,否则以后显现安装问题苦恼可就大了。还有确实是拐角地点最好用圆弧,一方面能够幸免尖角划伤工人,同时又能够减轻应力作用。往常我的一个产品老是在运输过程中有个别机器显现面壳PCB板断裂的情形,改用圆弧后就好了。

集成电路版图设计报告

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

相关主题