搜档网
当前位置:搜档网 › 函数信号发生器的设计与实现 (1)资料

函数信号发生器的设计与实现 (1)资料

函数信号发生器的设计与实现 (1)资料
函数信号发生器的设计与实现 (1)资料

计算机与信息学院

电子信息工程系综合课程设计报告

专业班级

电子信息工程11-2班

学生姓名及学号

陈雪莹20112661

指导教师

方静

课题名称

函数信号发生器

2013~2014 学年第三学期

函数信号发生器的设计与实现

一.课题的基本描述

在科学研究和实际工业测量控制系统开发过程中,方波、三角波和正弦波等是常用的基本测试信号,函数信号发生器就是用来产生、模拟这些真实信号源的通用电子设备。本课题要求设计一种以单片机为控制器的简易函数信号发生器,包含:主控电路、D/A转换电路、按键和波形选择电路以及显示输出电路,可以输出正弦波、三角波和方波三种信号,输出信号的频率可用按键进行增、减调整,并在LCD(12864)实时显示输出波形。

二.设计的基本要求

1. 正弦波、三角波频率调节范围:0.1-50HZ 输出幅值:1.0-1.5V

方波频率调节范围:1Hz-1KHz 输出幅值:5V

2.通过按键选择输出信号类型,幅值、频率等相关指标;

3. 具有显示输出波形的频率和幅度的功能。

三.技术方案及关键问题

(1).总体方案:

数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置按键、数模转换及波形输出等部分,即可构成所需的函数信号发生器。因此本系统利用单片机AT89C51采用程序设计方法产生三角波、正弦波、矩形波三种波形,再通过D/A转换器PCF8591T将数字信号转换成模拟信号,最终由液晶屏12864显示出来。通过按键来控制三种波形的类型选择、频率和幅度的变化,并通过数码管显示其各自的类型,液晶屏显示幅度和频率的大小。系统大致包括信号发生部分、数/模转换部分以及液晶显示部分三部分。

(2).信号发生电路方案:

通过单片机控制D/A,输出三种波形。此方案输出的波形不够稳定,抗干扰能力弱,不易调节。但此方案电路简单、成本低。

(3).显示方案:

采用一位共阴LED数码管,显示波形类型(1-3)。由于人眼具有视觉暂留特性,当每只数码管显示的时间间隔小于1/16s时人眼感觉不到闪动,看到的是每只数码管常亮。使用数码管显示编程较易,但要显示内容多,而且数码管不能显示字母。采用LCD液晶显示器12864,显示波形以及它的幅度和频率。12864其功率小,效果明显,显示编程容易控制,可以显示波形。

(4).按键方案:

独立按键,当没有键闭合时,呈高电平。当某一个键闭合时,该键所对应的线被短路。

系统的总体框图 :

图(1)

系统的总体框图

四.系统(算法)设计实现

硬件实现及单元电路设计:

AT89C51是片内有ROM/EPROM的单片机,因此,这种芯片构成的最小系统简单﹑可靠。用AT89C51单片机构成最小应用系统时,只要将单片机接上时钟电路和复位电路即可,如图(2)AT89C51单片机最小系统所示。由于集成度的限波形选择按键

D/A转换运放

液晶显示

幅值调节按键

频率调节按键输出

制,最小应用系统只能用作一些小型的控制单元。其应用特点:

(1)有可供用户使用的大量I/O口线。

(2)内部存储器容量有限。

(3)应用系统开发具有特殊性。

图(2) AT89C51单片机最小系统

芯片的引脚描述如下:

1、主电源引脚VCC和VSS

VCC——(40脚)接+5V电压;

VSS——(20脚)接地。

2、外接晶体引脚XTAL1和XTAL2

XTAL1(19脚)接外部晶体的一个引脚。在单片机内部,它是一个反相放大器的输入端,这个放大器构成了片内振荡器。当采用外部振荡器时,对HMOS单片机,此引脚应接地;对CHMOS单片机,此引脚作为驱动端。

XTAL2(18脚)接外晶体的另一端。在单片机内部,接至上述振荡器的反相放大器的输出端。采用外部振荡器时,对HMOS单片机,该引脚接外部振荡器的信号,即把外部振荡器的信号直接接到内部时钟发生器的输入端;对XHMOS,此引脚应悬浮。

3、控制或与其它电源复用引脚RST/VPD、ALE/PROG、PSEN和EA/VPP

①RST/VPD(9脚)当振荡器运行时,在此脚上出现两个机器周期的高电平将使单片机复位。推荐在此引脚与VSS引脚之间连接一个约8.2k的下拉电阻,与VCC引脚之间连接一个约10μF的电容,以保证可靠地复位。

VCC掉电期间,此引脚可接上备用电源,以保证内部RAM的数据不丢失。当VCC主电源下掉到低于规定的电平,而VPD在其规定的电压范围(5±0.5V)内,VPD就向内部RAM提供备用电源。

②ALE/PROG(30脚):当访问外部存贮器时,ALE(允许地址锁存)的输出用于锁存地址的低位字节。即使不访问外部存储器,ALE端仍以不变的频率周期性地出现正脉冲信号,此频率为振荡器频率的1/6。因此,它可用作对外输出的时钟,或用于定时目的。然而要注意的是,每当访问外部数据存储器时,将跳过一个ALE脉冲。ALE端可以驱动(吸收或输出电流)8个LS型的TTL输入电路。

对于EPROM单片机(如8751),在EPROM编程期间,此引脚用于输入编程脉冲(PROG)。

③PSEN(29脚):此脚的输出是外部程序存储器的读选通信号。在从外部程序存储器取指令(或常数)期间,每个机器周期两次PSEN有效。但在此期间,每当访问外部数据存储器时,这两次有效的PSEN信号将不出现。PSEN同样可以驱动(吸收或输出)8个LS型的TTL输入。

④EA/VPP(引脚):当EA端保持高电平时,访问内部程序存储器,但在PC (程序计数器)值超过0FFFH(对851/8751/80C51)或1FFFH(对8052)时,将自动转向执行外部程序存储器内的程序。当EA保持低电平时,则只访问外部程序存储器,不管是否有内部程序存储器。对于常用的8031来说,无内部程序存储器,所以EA脚必须常接地,这样才能只选择外部程序存储器。

对于EPROM型的单片机(如8751),在EPROM编程期间,此引脚也用于施加21V的编程电源(VPP)。

4、输入/输出(I/O)引脚P0、P1、P2、P3(共32根)

①P0口(39脚至32脚):是双向8位三态I/O口,在外接存储器时,与地址总线的低8位及数据总线复用,能以吸收电流的方式驱动8个LS型的TTL负载。

②P1口(1脚至8脚):是准双向8位I/O口。由于这种接口输出没有高阻状态,输入也不能锁存,故不是真正的双向I/O口。P1口能驱动(吸收或输出电流)4个LS型的TTL负载。对8052、8032,P1.0引脚的第二功能为T2定时/计数器的外部输入,P1.1引脚的第二功能为T2EX捕捉、重装触发,即T2的外部控制端。对EPROM编程和程序验证时,它接收低8位地址。

③P2口(21脚至28脚):是准双向8位I/O口。在访问外部存储器时,它可以作为扩展电路高8位地址总线送出高8位地址。在对EPROM编程和程序验证期间,它接收高8位地址。P2可以驱动(吸收或输出电流)4个LS型的TTL负载。

④P3口(10脚至17脚):是准双向8位I/O口,在MCS-51中,这8个引脚还用于专门功能,是复用双功能口。P3能驱动(吸收或输出电流)4个LS型的TTL负载。

作为第一功能使用时,就作为普通I/O口用,功能和操作方法与P1口相同。

作为第二功能使用时,各引脚的定义如表所示。

值得强调的是,P3口的每一条引脚均可独立定义为第一功能的输入输出或第二功能。

第二功能描述如下:

P3.0 10 RXD(串行输入口)

P3.1 11 TXD(串行输出口)

P3.2 12 INT0(外部中断0)

P3.3 13 INT1(外部中断1)

P3.4 14 T0(定时器0外部输入)

P3.5 15 T1(定时器1外部输入)

P3.6 16 WR(外部数据存储器写脉冲)

P3.7 17 RD(外部数据存储器读脉冲)

(1)波形产生模块设计

由单片机采用编程方法产生三种波形、通过DA转换模块PCF8591之后输出。其电路图如下:

图(3) PCF8591芯片

在与CPU的信息传输过程中仅靠时钟线SCL和数据线SDA就可以实现。

PCF8591是具有I2C总线接口的8位A/D及D/A转换器。有4路A/D转换输入,1路D/A模拟输出。这就是说,它既可以作A/D转换也可以作D/A转换。A/D 转换为逐次比较型。

AIN0~AIN3:模拟信号输入端。

A0~A3:引脚地址端。

SDA、SCL:I2C总线的数据线、时钟线。

OSC:外部时钟输入端,内部时钟输出端。

EXT:内部、外部时钟选择线,使用内部时钟时EXT接地。

AGND:模拟信号地。

AOUT:D/A转换输出端。

VREF:基准电源端。

PCF8591采用典型的I2C总线接口器件寻址方法,即总线地址由器件地址、引脚地址和方向位组成。飞利蒲公司规定A/D器件地址为1001。引脚地址为A2A1A0,其值由用户选择,因此I2C系统中最多可接23=8个具有I2C总线接口的A/D器件。地址的最后一位为方向位R/ ,当主控器对A/D器件进行读操作时为1,进行写操作时为0。总线操作时,由器件地址、引脚地址和方向位组成的从地址为主控器发送的第一字节。

控制字节用于实现器件的各种功能,如模拟信号由哪几个通道输入等。控制字节存放在控制寄存器中。总线操作时为主控器发送的第二字节。其格式如下所示:

其中:D1、D0两位是A/D通道编号:00通道0,01通道1,10通道2,11通道3

D2 自动增益选择(有效位为1)

D5、D4模拟量输入选择:00为四路单数入、01为三路差分输入、10为单端与差分配合输入、11为模拟输出允许有效

当系统为A/D转换时,模拟输出允许为0。模拟量输入选择位取值由输入方式决定:四路单端输入时取00,三路差分输入时取01,单端与差分输入时取10,二路差分输入时取11。最低两位时通道编号位,当对0通道的模拟信号进行A/D 转换时取00,当对1通道的模拟信号进行A/D转换时取01,当对2通道的模拟信号进行A/D转换时取10,当对3通道的模拟信号进行A/D转换时取11。

在进行数据操作时,首先是主控器发出起始信号,然后发出读寻址字节,被控器做出应答后,主控器从被控器读出第一个数据字节,主控器发出应答,主控器从被控器读出第二个数据字节,主控器发出应答…一直到主控器从被控器中读出第n个数据字节,主控器发出非应答信号,最后主控器发出停止信号。(2)显示模块的设计

通过液晶12864显示输出的波形以及波形的频率和幅度频率,其电路图如下:

图(4)液晶显示模块

如上图所示,12864的八位数据端接单片机的P0口,其三个使能端RS、RW、E分别接单片机的P2.2—P2.4。通过软件控制液晶屏可以显示波形以及波形的幅度和频率。

带中文字库的128X64是一种具有4位/8位并行、2线或3线串行多种接口方式,内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块;其显示分辨率为128×64, 内置8192个16*16点汉字,和128个16*8点ASCII字符集.利用该模块灵活的接口方式和简单、方便的操作指令,可构成全中文人机交互图形界面。可以显示8×4行16×16点阵的汉字. 也可完成图形显示.低电压低功耗是其又一显著特点。

LCD12864基本特性:

(1)、低电源电压(VDD:+3.0--+5.5V)(2)、显示分辨率:128×64点(3)、内置汉字字库,提供8192个16×16点阵汉字(简繁体可选) (4)、内置128个16×8点阵字符(5)、2MHZ时钟频率(6)、显示方式:STN、半透、正显(7)、驱动方式:1/32DUTY,1/5BIAS (8)、视角方向:6点(9)、背光方式:侧部高亮白色LED,功耗仅为普通LED的1/5—1/10 (10)、通讯方式:串行、并口可选(11)、内置DC-DC转换电路,无需外加负压(12)、无需片选信号,简化软件设计(13)、工作温度: 0℃- +55℃,存储温度: -20℃-+60℃

图(5)数码管显示模块

通过一位数码管显示波形类型(1-3).

(3)按键显示模块的设计

本系统采用独立按键,其连接电路图如下:

图(6)按键显示模块

图中四个按键引出的四个线分别接单片机的P3.2—P3.6口,如图KEY1用来切换输出波形、KEY2和KEY3用来调节频率的加减,KEY4和KEY5用来调节幅度的加减。当按KEY2时输出波形的频率增加,按KEY3时输出波形的频率减小。当按KEY4时输出波形的幅度增加,按KEY5时输出波形的幅度减小。

软件设计流程:

本系统采用AT89C51单片机,用编程的方法来产生三种波形,并通过编程来切换三种波形以及波形频率的改变。

具体功能有:(1)各个波形的切换;(2)各种参数的设定;(3)频率增减等。

软件调通后,通过编程器下载到AT89C51芯片中,然后插到系统中即可独立完成所有的控制。

软件的流程图如下:

图(7)软件的流程图

部分程序代码: hnh.c 文件:

#include #include #include"LCD12864.h"

#define uchar unsigned char #define uint unsigned int #define _Nop() _nop_()

#define AddW 0x90 #define AddR 0x91

sbit SDA=P2^0;

I2C 总线协议 开始

主函数

初始化

根据相应的wave 值进入相应的if 语句

wave=1 wave=3

wave=2

输出三角波液晶显示

输出正弦波液晶显示 输出方波液晶显示

sbit SCL=P2^1;

sbit key1=P3^2;

sbit key2=P3^3;

sbit key3=P3^4;

sbit key4=P3^5;

sbit key5=P3^6;

bit back;

uchar wave=0;

uchar f=1;

uchar A1=10;

uchar A2=1;

uint num=0;

unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,}; //共阴

unsigned char code fangbo[]=

{0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,

0,0,0,0,255,255,255,255,255,255,255,255,255,255,

255,255,255,255,255,255,255,255,255,255,255,255,

255,255,255,255,255,255,255,255,255,255,} ;

unsigned char code sanjiao[]

={ 0,4,8,12,16,20,24,28,32,36,40,44,48,52,56,

60,64,68,72,76,80,84,88,92,96,100,104,

108,112,116,120,124,128,124,120,116,112,

108,104,100,96,92,88,84,80,76,72,68,64,

60,56,52,48,44,40,36,32,28,24,20,16,12,8,4,0,};

unsigned char code sin[]

={135,145,158,167,176,188,199,209,218,226,

234,240,245,249,252,254,254,253,251,247,

243,237,230,222,213,204,193,182,170,158,

146,133,121,108,96,84,72,61,50,41,32,24,

17,11,7,3,1,0,0,2,5,9,14,20,28,36,45,55,66,

78,90,102,114,128 } ;

void delay1(uint z)

{

uint x,y;

for(x=z;x>0;x--)

for(y=60;y>0;y--);

}

void Start_I2c()

{

SDA=1;

_Nop();

SCL=1;

_Nop();

_Nop();

_Nop();

_Nop();

SDA=0;

_Nop();

_Nop();

_Nop();

_Nop();

_Nop();

SCL=0;

_Nop();

_Nop();

} //结束总线

void Stop_I2c()

{

SDA=0;

_Nop();

SCL=1;

_Nop();

_Nop();

_Nop();

_Nop();

_Nop();

SDA=1;

_Nop();

_Nop();

_Nop();

_Nop();

}

void SendByte(uchar c) //发送字节函数

{

unsigned char Bit;

for(Bit=0;Bit<8;Bit++) //要传送的数据长度为8位{

if((c<

SDA=1;

else

SDA=0;

_Nop();

SCL=1; //置时钟线为高,通知被控器开始接收数据位

_Nop();

_Nop();

_Nop();

_Nop();

_Nop();

SCL=0;

}

_Nop();

_Nop();

SDA=1;

_Nop();

_Nop();

SCL=1;

_Nop();

_Nop();

_Nop();

if(SDA==1)

back=0;

else

back=1;

SCL=0;

_Nop();

_Nop();

}

bit WriteDAC(uchar dat, uchar num)

{

unsigned char i;

Start_I2c();

SendByte(AddW);

if(back==0)

return(0);

SendByte(0x40);

if(back==0)

return(0);

for(i=0;i

{

SendByte(dat);

if(back==0)

return(0);

}

Stop_I2c();

}

void keys()

{

if(key1==0)

{

delay1(10);

if(key1==0)

{

num++;

while(!key1);

wave++;

if(wave==4)

{

wave=1;

num=1;

}

P1=table[num];

}

}

}

void keys1()

{

if(key2==0)

{

delay1(10);

if(key2==0)

{

while(!key2);

f++;

if(f>500)

f=500;

}

}

if(key3==0)

{

delay1(10);

if(key3==0)

{

while(!key3);

if(f>1)

f--;

}

}

if(key4==0)

{

delay1(10);

if(key4==0)

{

while(!key4);

A1++;

if(A1>15)

A1=15;

}

}

if(key5==0)

{

delay1(10);

if(key5==0)

{

while(!key5);

if(A1>1)

A1--;

}

}

}

void keys2()

{

if(key2==0)

{

delay1(10);

if(key2==0)

{

while(!key2);

f++;

if(f>100)

f=100;

}

}

if(key3==0)

{

delay1(10);

if(key3==0)

{

while(!key3);

if(f>1)

f--;

}

}

if(key4==0)

{

delay1(10);

if(key4==0)

{

while(!key4);

A2++;

if(A2>5)

A2=5;

}

}

if(key5==0)

{

delay1(10);

if(key5==0)

{

while(!key5);

if(A2>1)

A2--;

}

}

}

void main()

{

unsigned char i,temp,Atemp,Ftemp;

LcdInit();

P1=table[0];

while (1)

{

temp=wave;

keys();

if(temp!=wave)

ClrGDRAM();

if(wave==1)

{

Atemp=A1;Ftemp=f;

keys1();

if(Atemp!=A1)

ClrGDRAM();

if(Ftemp!=f)

ClrGDRAM();

for(i=0;i<65;i++)

WriteDAC(1.0*sanjiao[i]*A1/20,21-f);

drawTri(A1,f); //绘制三角波图像.

delayms(10);

}

else if(wave==2)

{

Atemp=A1;Ftemp=f;

keys1();

if(Atemp!=A1)

ClrGDRAM();

if(Ftemp!=f)

ClrGDRAM();

for(i=0;i<64;i++)

WriteDAC(1.0*sin[i]*A1/40,21-f);

drawSin(A1,f); //绘制正弦波图像.

delayms(10);

}

else if(wave==3)

{

Atemp=A2;Ftemp=f;

keys2();

if(Atemp!=A2)

ClrGDRAM();

if(Ftemp!=f)

ClrGDRAM();

for(i=0;i<64;i++)

WriteDAC(1.0*fangbo[i]*A2/40,21-f);

drawSquare(A2,f); //绘制方波图像.

delayms(10);

}

else if(wave==3)

{

for(i=0;i<64;i++)

if(i%2==0)

WriteDAC(1.0*0xff*A2/40,21-f);

else

WriteDAC(0,21-f);

}

}

}

LCD12864.c文件中部分代码:

画点函数:

void drawPoint(unsigned char x,unsigned char y,unsigned char color) {

unsigned char row,collum,cbite;

unsigned char tempH,tempL;

writeCommand(0x34);

writeCommand(0x36);

collum=x>>4;

cbite=x&0x0f;

if(y<32)

row=y;

else

{

row=y-32;

collum+=8;

}

writeCommand(0x80+row);

writeCommand(0x80+collum);

readData();

tempH=readData();

tempL=readData();

writeCommand(0x80+row);

writeCommand(0x80+collum);

if (color)

{

if(cbite<8)

{

tempH|=(0x01<<(7-cbite));

}

else

{

tempL|=(0x01<<(15-cbite));

}

}

else

{

if(cbite<8)

{

tempH&=~(0x01<<(7-cbite));

}

else

{

tempL&=~(0x01<<(15-cbite));

}

}

writeData(tempH);

writeData(tempL);

writeCommand(0x30);

}

绘制三角波函数:

void drawTri(unsigned int A1,f) //绘制三角波图像。参数A幅度,格式为几点几伏,

参数f频率,格式为几十几点几赫兹

{

unsigned char x;

unsigned int t;

char Am[]="0.0V";

char Fr[]="00.0Hz";

if(f==1)

{

for(x=0;x<32;x++) //在液晶屏左半屏绘出

三角波图像(不会随频率f改变){

drawPoint(x,47-x/4*(A1-9),1);

drawPoint(63-x,47-x/4*(A1-9),1);

}

}

else

{

for(x=0;x<64/(pow(2,f));x++) //在液晶屏左半屏绘出

三角波图像(不会随频率f改变){

drawPoint(x,47-x*(pow(2,(f-3)))*(A1-9),1);

drawPoint(((128/pow(2,f))-1-x),47-x*(pow(2,(f-3)))*(A1-9),1);

}

for(x=128/pow(2,f);x<192/(pow(2,f));x++)

函数信号发生器的设计1.

2007年6月第24卷第2期 三明学院学报 JOURNALOFSANMINGUNIVERSITY Jun.2007Vol.24NO.2 函数信号发生器的设计 袁放成 (泉州师范学院物理系,福建泉州 362000) 摘要:设计的函数信号发生器由集成电路MAX038芯片为核心器件,芯片外围电路设计简单可靠,能输出正弦波、矩形波及三角波。频率准确度和频率稳定度都达到10-4,正弦波失真度约为1%。采用C8051F005单片机作为控制芯片,通过键盘操作可选择MAX038的输出波形,利用LCD液晶显示器实时显示输出信号的频率。 关键词:MAX038;波形;频率稳定度;单片机中图分类号:TP346 文献标识码:A 文章编号:1673-4343(2007)02-0146-06 DesignofFunctionWaveGenerator YUANFang-cheng (DeprtmentofPhysics,QuanzhouNormalUniversity,Quanzhou362000,China)

Abstract:ThecorepartofthefunctionwavegeneratorwasmadeupofICMAX038chipinthispaper.Thedesignoftheexternalcircuitwassimpleandtried.Sinepulseandtrianglewaveformscouldbeproducedbythefunctionwavegenerator.10-4wasachievedinthefrequencystabilityandaccuracy.Thedistortionofsinewaveformwasabout1%.ByusingthecontrolchipmadeupoftheC8051F005SingleChipMicyoco,thefunctionwavegeneratorcouldoutputwaveformsselectedwithkeyboard,andshowthefrequencyofoutputtingsignalonLCD. Keywords:functionwavegenerator;MAX038;frequencystability;SingleChipMicyoco 引言 在现代电子的各个领域,常常需要用到频率范围广、精度高、稳定度高及输出波形种类丰富的信号源。随着半导体芯片制造业的迅速发展和研制水平的飞速提高,出现了很多功能强大且性能可靠的集成信号发生芯片,几乎代替了以前用分立元件搭成的信号发生电路模块。例如ICL8038、 1函数信号发生器的指标要求 函数信号发生器的要求是:可以输出正弦波、矩形波(包括方波)及三角波,输出信号的频率及幅度连续可调,输出方波的占空比可调,液晶显示输出信号频率值。输出信号的频率稳定度和准确度达

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

基于MATLAB的函数信号发生器1

基于MATLAB的函数信号发生器1

信息系统仿真设计实训报告 学院信息电子技术 专业**** 班级******8 学号********8 姓名*** 指导教师*** 2014年7月25日

基于MATLAB的函数信号发生器 1、目的 函数信号发生器是基于软硬件实现的一种波形发生仪器。在工工程实践中需要检测和分析的各种复杂信号均可分解成各简单信号之和,而这些简单信号可由函数信号发生器模拟产生,因此它在工程分析和实验教学有着广泛的应用。MATLAB 是一个数据分析和处理功能十分强大的工程实用软件,他的数据采集工具箱为实现数据的输入和输出提供了十分方便的函数和命令,在信号处理方面方便实用。本文介绍了使MATLAB建立一个简单函数信号发生器的基本流程,并详细叙述了简单波形(正弦波、方波、三角波、锯齿波、白噪声、脉冲)信号的具体实现方法。通过此次的设计对MATLAB有个更深刻的了解,熟练的使用MATLAB的GUI设计简单的界面程序。 2、工作原理与计算 该虚拟信号发生器的设计由GUI界面及其对应的程序组成。设计函数发生器有正弦信号、方波信号、三角波、锯齿波、白噪声、脉冲信号。其中,前五种波形都可以利用MATLAB提供的函数实现,并根据输入的幅值、相位、频率等信息进行调整。根据脉冲信号在某一时刻出现的一冲激特点,可由编写程序来实现。界面主要由MATLAB创建,之后编写界面所用的函数,从而实现函数信号发生器。(1)正弦信号的实现 正弦波信号的数学表达式如(1)。 ωφ() 其中:A为幅值;ω为频率; 为相位。 在MATLAB中,幅值、频率、相位、在用户界面输入。y的表达式都得到以后,用plot二维作图函数获得波形显示。 (2)方波信号的实现 与正弦波一样,从用户界面获得幅值、频率、相位、采样频率等信息,用square 函数获得对应y坐标值,用plot绘图,格式如(2)。

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

函数信号发生器 (1)分解

函数信号发生器 制作队员: 班级:

摘要: 本次作品是一个基于单片机设计的函数信号发生器。函数信号发生器的设计方法有多种,利用单片机设计的函数信号发生器具有编程灵活,功能更以扩充等实际的优点。利用单片机设计的函数信号发生器能够产生正弦波,锯齿波,三角波,方波,并实现对频率和占空比的调节,以及液晶屏显示波形名称和波形频率,波形的切换和频率的调节以及占空比的改变都可以用按键实现。在编程语言上,我们选择自身比较熟悉的 C语言,这样在后期波形的调试及与硬件衔接方面更容易发挥出自身优势。经过设计及后期长时间的调试,考虑设计的所有功能均已实现。 关键词:单片机,函数发生器,C语言 第一章:函数信号发生器的设计 设计一个基于单片机的函数信号发生器,该函数信号发生器可以输出四种波形,有正弦波,锯齿波,三角波,方波。在此基础上进一步实现对波形频率和占空比的调节,并用液晶屏分两行显示波形名称和波形频率。 一课程设计的目的: 1、巩固、加深和扩大单片机应用的知识面,提高综合及灵活运用所学知识解决实际课题设计的能力。 2、培养针对课题需要,选择和查阅有关手册、图表及文献资料的能力,提高组成系统、编程、调试的动脑动手能

力。 3、通过对课题设计方案的分析、选择、比较,熟悉运用单片机系统开发、软硬件设计的方法内容及步骤。 4、掌握DAC0832,LM324,74LS21的接口电路,及使用方法。 5、熟悉掌握函数信号发生器的工作原理。 二课程设计要求: 1、熟悉组成系统中的实验模块原理,画出实验原理图。 2、写出完整的设计任务书:课题的名称、系统的功能、硬件原理图、软件框图、元件清单、程序清单、参考资料。 3、输出几种波形,实现对频率和占空比的调节,以1HZ 作为步进进行调节。 第二章:设计方案 一系统主要功能 该函数信号发生器可以输出四种波形,有正弦波,锯齿波,三角波,方波。在此基础上进一步实现对波形频率和占空比的调节,并用液晶屏分两行显示波形名称和波形频率。二系统硬件构成及功能 函数信号发生器的设计总体框图如图所示,主要有单片机AT89S52,电源,键盘模块,LCD1602显示模块构成。 按案件模块:由5个复位开关与74LS21组成的系统通过对单片机传输中断信号来实现波形切换及频率和占空比的

函数信号发生器使用说明(超级详细)

函数信号发生器使用说明 1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 表1 序 面板标志名称作用号 1电源电源开关按下开关,电源接通,电源指示灯亮 2 1、输出波形选择 波形波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200

函数信号发生器

函数信号发生器 函数信号发生器 作者:华伟锋卞蕊樊旭超 2013-8-8

函数信号发生器 摘要 直接数字频率合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通信等领域有着广泛的应用前景。本文介绍了DDS(直接数字频率合成)的基本原理和工作特点,提出以DDS芯片AD9850芯片为核心利用MSP430F5438单片机控制,辅以必要的外围电路,构成一个输出波形稳定、精度较高的信号发生器。该信号发生器主要能产生标准的正弦波、方波与三角波(锯齿波),波形可手动切换,频率步进可调,软件系统采用菜单形式进行操作,LCD液晶显示可实时显示输出信号的类型、幅度、频率和频率步进值,操作方便明了,还增加了很多功能。 关键词:AD9850;信号发生器;MSP430F149单片机;DDS;LCD液晶; Abstact:Direct Digital Synthesis (DDS) is an important frequency synthesizer technology, with high resolution, fast frequency conversion, etc., in radar and communications and other fields have a wide range of applications. This article describes the DDS (direct digital frequency synthesis) of the basic principles and work, we proposed to DDS chip AD9850 chip as the core using MSP430F5438 MCU control, supplemented by the necessary peripheral circuits to form a stable output waveform, high precision signal generator . The signal generator can generate standard primary sine wave, square wave and triangular wave (sawtooth), the waveform can be manually switched, frequency step adjustable software system used to operate the menu form, LCD liquid crystal display can be real-time display of the output signal type , amplitude, frequency and frequency step value, easy to understand, but also adds a lot of functionality. Key words:AD9850; signal generator; MSP430F5438MCU; DDS; LCD liquid crystal;

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

EDA实验 函数信号发生器

EDA设计实验 题目:函数信号发生器 作者: 所在学院:信息科学与工程学院 专业年级: 指导教师: 职称: 2011 年 12 月 11 日

函数信号发生器 摘要:函数信号发生器在生产实践和科技领域有着广泛的应用。本设计是采用了EDA技术设计的函数信号发生器。此函数信号发生器的实现是基于VHDL语言描述各个波形产生模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由波形产生模块和波形选择模块两个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以输出正弦波、方波、三角波,锯齿波,阶梯波等规定波形,并能根据波形选择模块的设定来选择波形输出。 关键字:函数信号发生器;Cyclone;VHDL;QuartusⅡ 引言: 函数信号发生器即通常所说的信号发生器是一种常用的信号源,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格要求的电信号设备是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对函数信号信号发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波性,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度、及分辨率高等。本文基于

EDA设计函数信号发生器,并产生稳定的正弦波、方波、锯齿波、三角波、阶梯波。 正文: 1、Quartus II软件简介 1)Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

函数信号发生器使用说明

EE1641C~EE1643C型 函数信号发生器/计数器 使用说明书 共 11 张 2004年 10 月

1 概述 1.1 定义及用途 本仪器是一种精密的测试仪器,因其具有连续信号、扫频信号、函数信号、脉冲信号等多种输出信号,并具有多种调制方式以及外部测频功能,故定名为EE1641C型函数信号发生器/计数器、EE1642C(EE1642C1)型函数信号发生器/计数器、EE1643C型函数信号发生器/计数器。本仪器是电子工程师、电子实验室、生产线及教学、科研需配备的理想设备。 1.2 主要特征 1.2.1 采用大规模单片集成精密函数发生器电路,使得该机具有很高的可靠性及优良性能/价格比。 1.2.2 采用单片微机电路进行整周期频率测量和智能化管理,对于输出信号的频率幅度用户可以直观、准确的了解到(特别是低频时亦是如此)。因此极大的方便了用户。 1.2.3 该机采用了精密电流源电路,使输出信号在整个频带内均具有相当高的精度,同时多种电流源的变换使用,使仪器不仅具有正弦波、三角波、方波等基本波形,更具有锯齿波、脉冲波等多种非对称波形的输出,同时对各种波形均可以实现扫描、FSK调制和调频功能,正弦波可以实现调幅功能。此外,本机还具有单次脉冲输出。 1.2.4 整机采用中大规模集成电路设计,优选设计电路,元件降额使用, 以保证仪器高可靠性,平均无故障工作时间高达数千小时以上。 1.2.5 机箱造型美观大方,电子控制按纽操作起来更舒适,更方便。 2 技术参数 2.1 函数信号发生器技术参数 2.1.1 输出频率 a) EE1641C:0.2Hz~3MHz 按十进制分类共分七档 b) EE1642C:0.2Hz~10MHz 按十进制分类共分八档 c) EE1642C1:0.2Hz~15MHz 按十进制分类共分八档 d) EE1643C:0.2Hz~20MHz 按十进制分类共分八档 每档均以频率微调电位器实行频率调节。 2.1.2 输出信号阻抗 a) 函数输出:50Ω b) TTL同步输出:600Ω 2.1.3 输出信号波形 a) 函数输出(对称或非对称输出):正弦波、三角波、方波 b) 同步输出:脉冲波 2.1.4 输出信号幅度 a) 函数输出:≥20Vp–p±10%(空载);(测试条件:fo≤15MHz,0dB衰减) ≥14Vp–p±10%(空载);(测试条件:15MHz≤fo≤20MHz,0dB衰减) b) 同步输出:TTL电平:“0”电平:≤0.8V,“1”电平:≥1.8V(负载电阻≥600Ω) CMOS电平:“0”电平:≤4.5V,“1”电平:5V~13.5V可调(fo≤2MHz) c) 单次脉冲:“0”电平:≤0.5V,“1”电平:≥3.5V 2.1.5 函数输出信号直流电平(offset)调节范围:关或(–10V~+10V)±10%(空载) [“关”位置时输出信号所携带的直流电平为:<0V±0.1V,负载电阻为:50Ω时,调节范围为 (–5V~+5V)±10%]

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版 6 设计总结 7仪器仪表明细清单 8 参考文献

1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。 2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波U P-P≤24V,三角波U P-P =8V,正弦波U P-P >1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。

2.函数发生器总方案及原理框图 图1-1 整体原理框图 2.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路的基本结构是比例放大器,对不同区段内比例系数的切换,是通过二级管网络来实现的。如输出信号的正半周内由D1~D3控制切换,负半周由D4~D6控制切换。电阻Rb1~Rb3与Ra1~Ra3分别组成分压器,控制着各二极管的动作电平。

函数信号发生器[1]

函数信号发生器[1]

目录 摘要 (3) 1方案的选择 (4) 1.1问题的提出 (4) 1.2基本原理 (4) 1.3提出解决问题的方案及选 (5) 1.4可行性分析 (10) 1.5参数的确定 (10) 2.仿真结果及分析 (12) 3.心得体会 (13) 4.元器件清单 (14) 5.参考文献 (14)

摘要 函数信号发生器是一种能能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。 产生正弦波,方波,三角波的方案有多种,如先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波。 现在我要设计一个能变换出三角波、正弦波、方波的简易发生器。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。按照设计的方案利用Pspice进行仿真

1方案的选择 1.1问题的提出 设计一个函数发生器使得能够产生方波、三角波、正弦波。 1、主要技术指标 频率范围10Hz~100Hz,100Hz~1000Hz,1kHz~10kHz 频率控制方式通过改变RC时间常数手控信号频率 通过改变控制电压Uc实现压控频率VCF 输出电压正弦波Up p≈3 V 幅度连续可调; 三角波Upp≈5 V 幅度连续可调; 方波Upp≈14 V 幅度连续可调. 波形特性方波上升时间小于2s; 三角波非线性失真小于1%; 正弦波谐波失真小于3%。 2、设计要求 (1)根据技术指标要求自选方案设计出原理电路图,分析工作原理,计算元件参数。(2)列出所有元、器件清单。 (3)利用Pspice进行仿真。 (4)观察并分析结果。 1.2基本原理: 1、函数发生器的组成 函数发生器一般是指能自动产生正弦波、方波、三角波的电压波形的电路或者仪器。 电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。根据用途不同,有产生三种或多种波形的函数发生器。 1.3提出解决问题的方案及选取 由运算放大器单路及分立元件构成,方波——三角波——正弦波函数发生器电路组成如图1所示,方波由比较器产生,三角波是方波输入积分器而输出的,这就解决了方波与三角波的产生方案.因此方案的关键在于三角波到正弦波的变换。

函数信号发生器

基于labview的函数信号发生器的设计 [摘要] 介绍一种基于labvIEW环境下自行开发的虚拟函数信号发生器,它不仅能够产 生实验室常用的正弦波、三角波、方波、锯齿波信号,而且还可以通过输入公式,产生测试和研究领域所需要的特殊信号。对任意波形的发生可实现公式输入;对信号频率、幅度、相位、偏移量可调可控;方波占空比可以调控;噪声任意可加、创建友好界面、信号波形显示;输出频谱特性;所有调制都可微调与粗调。该仪器系统操作简便,设计灵活,功能强大,可以完成不同环境下的测量要求。因此具有很强的实用性。 关键词:虚拟仪器,labvIEW,虚拟函数信号发生器,正弦波,三角波,方波,锯齿波, 特殊信号。 引言: 在有关电磁信号的测量和研究中,我们需要用到一种或多种信号源,而函数信号发生器则为我们提供了在研究中所需要的信号源。它可以产生不同频率的正弦波,方波,三角波,锯齿波,正负脉冲信号,调频信号,调幅信号和随机信号等。其输出信号的幅值也可以按需要进行调节。传统信号发生器种类繁多,价格昂贵,而且功能固定单一,不具备用户对仪器进行定义及编程的功能,一个传统实验室很难拥有多类信号发生器。然而,基于虚拟仪器技术的实验室均能满足这一要求。 1、虚拟仪器简介: 自从1986年美国NI(National Instrument)公司提出虚拟仪器的概念以来,随着计 算机技术和测量技术的发展,虚拟仪器技术也得到很快的发展。虚拟仪器是指:利用现有的PC机,加上特殊设计的仪器硬件和专用软件,形成既有普通仪器的基本功能,又有一般仪器所没有的特殊功能的新型仪器。与传统的仪器相比其特点主要有:具有更好的测量精度和可重复性;测量速度快;系统组建时间短;由用户定义仪器功能;可扩展性强;技术更新快等。虚拟仪器以软件为核心,其软件又以美国NI公司的Labview虚拟仪器软件开发平台最为常用。Labview是一种图形化的编程语言,主要用来开发数据采集,仪器控制及数据处理分析等软件,功能强大。目前,该开发软件在国际测试、测控行业比较流行,在国内的测控领域也得到广泛应用。函数信号发生器是在科学研究和工程设计中广泛应用的一种通用仪器。下面结合一个虚拟函数信号发生器设计开发具体介绍基于图形化编程语言Labview的虚拟仪器编程方法与实现技术。 2、虚拟函数信号发生器的结构与组成 2.1 虚拟函数信号发生器的前面板

函数信号发生器

课程设计(论文) 课程名称:模拟电子技术基础课程设计 题目名称:函数信号发生器 姓名: 学号 班级: 专业:电子信息科学与技术 设计时间:2011-2012-1学期15、16周 教师评分: 2011 年 12 月11 日

目录 1设计的目的及任务 (1) 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (3) 2 电路设计总方案及各部分电路工作原理 (3) 2.1 电路设计总体方案............................................................( 3)2.2 正弦波发生电路的工作原理 (3) 2.3 正弦波---方波工作原理 (4) 2.4 方波---三角波工作原理 (5) 2.5 三角波---正弦波工作原理 (7) 3 电路仿真及结果 (8) 3.1 仿真电路图及参数选择 (8) 3.2 仿真结果及分析 (9) 4收获与体会 (13) 5 仪器仪表明细清单 (13) 6 参考文献 (14)

一、 设计的目的及任务 1.1 课程设计的目的: 1、 熟悉简易信号发生器的电路结构及电路原理,并掌握特定波形 的转换。 2、学习以及熟练运用multisim 工具。 1.2 课程设计的任务与要求 1、 设计一函数信号发生器,能输出特定频率(1kHz )的正弦波(两 个波形)、方波和三角波共四种波形。振幅固定,如-5V 到+5V 之间。 2、 拓展项(可选): 频率可调,锯齿波 脉冲波。 二、 电路设计总方案及各部分电路工作原理 三、 2.1 电路设计总体方案 积分电路 低通滤波

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

相关主题