搜档网
当前位置:搜档网 › DDS介绍

DDS介绍

DDS介绍
DDS介绍

DDS介绍(自己整理)

DDS概要

1971年,美国学者J.Tierney等人撰写的“A DIGITAL Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给成原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct DIGITAL Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。

一、

DDS原理和结构

DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。

相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS输出的信号频率。

用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。

DDS在相对带宽、频率转换时间、高分头放力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。

下面以AD9850为例来谈一谈DDS的工作原理。DDS系统的核心是相位累加器,每来一个时钟脉冲,它的内容就更新一次。在每次更新时,相位增量寄存器的相位增量M就加到相位累加器中的相位累加值上。假设相位增量寄存器的M为00...01,相位累加器的初值为00...00。这时在每个时钟周期,相位累加器都要加上00...01。如果累加器位宽n是32位,相位累加器就需要232个时钟周期才能恢复初值(见图2)。

相位累加器的输出作为正弦查找表的查找地址。查找表中的每个地址代表一个周期的正

弦波的一个相位点,每个相位点对应一个量化振幅值。因此,这个查找表相当于一个相位/振幅变换器,它将相位累加器的相位信息映射成数字振幅信息,这个数字振幅值就作为D/A 变换器的输入。

例如n=32,

M=1, 这个相应的输出正弦波频率等于时钟频率除以232。如果M=2,输出频率就增加1倍。对于一个n-bit的相位累加器来说,就有2n个可能的相位点,相位增量寄存器中控制字M就是在每个时钟周期被加到相位累加器上的值。假设时钟频率为fc,那么输出正弦波的频率就为:

f0 = M*fc / 2n

这就是DDS的“tuning

equation”。这个系统的分辨率达fc / 2n ,如果n = 32 ,分辨率比40亿分之一还要好,在一个实际应用的DDS系统里,相位累加器的所有输出位并没有全部送到查找表,一般只取高K位(AD9850就只取高13到15位),于是既减少了查找表的规模,又不影响系统的频率分辨率。这个相位输出给最后的输出只带来小到可以接受的相位噪声。相位噪声基本上来源于参考时钟。

在DDS系统中,最重要的是对带宽和频率纯度之间的折中。如果时钟频率降低,则Nyquist频率下降,带宽减小,同时D/A变换器的分辨率提高,这样就可以得到更高的频率

纯度。所以,对DDS输出频率分频就可以减小带宽并且提高频谱纯度。模拟信号频谱纯度主要取决于D/A变换器的性能。

上述基本DDS系统是相当灵活的。而且拥有高分辨率。它可以通过相位累加器来同时相位连续地改变频率。然而,实际DDS系统首先要在相位累加器之前加入一个内部缓冲寄存器(即图中的Data and control input register),通常这个缓存串行输入相位累积值,按顺序字节输入(Byte-load)相位控制字。由于相位增量寄存器和相位累加器是并行输入,加了缓存相当于串并转换,可以减少封装的管脚数。控制字载入缓存与相位增量寄存器以及相位累加器的并行输出是同步的,因此不影响DDS的速率。

二、DDS的特点

优点:

(1)输出频率相对带宽较宽

输出频率带宽为50%fs(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%fs。

(2)频率转换时间短

DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之后按照新的相位增量累加,才能实现频率的转换。因此,频率时间等于频率控制字的传输,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS的频率转换时间可达纳秒数量级,比使用其它的频率合成方法都要短数个数量级。

(3)频率分辨率极高

若时钟fs的频率不变,DDS的频率分辨率就是则相位累加器的位数N决定。只要增加相位

累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于1mHz甚至更小。

(4)相位变化连续

改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。

(5)输出波形的灵活性

只要在DDS内部加上相应控制如调频控制FM、调相控制PM和调幅控制AM,即可以方便灵活地实现调频、调相和调幅功能,产生FSK、PSK、ASK和MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形输出,如三角波、锯齿波和矩形波甚至是任意的波形。当DDS的波形存储器分别存放正弦和余弦函数表时,既可得到正交的两路输出。

(6)其他优点

由于DDS中几乎所有部件都属于数字电路,易于集成,功耗低、体积小、重量轻、可靠性高,且易于程控,使用相当灵活,因此性价比极高。

缺点:DDS也有局限性,主要表现在:

(1)输出频带范围有限

由于DDS内部DAC和波形存储器(ROM)的工作速度限制,使得DDS输出的最高频有限。目前市场上采用CMOS、TTL、ECL工艺制作的DDS工习片,工作频率一般在几十MHz 至400MHZ左右。采用GaAs工艺的DDS芯片工作频率可达2GHz左右。

(2)输出杂散大

由于DDS采用全数字结构,不可避免地引入了杂散。其来源主要有三个:相位累加器相位舍位误差造成的杂散;幅度量化误差(由存储器有限字长引起)造成的杂散和DAC非理想特性造成的杂散。

三、DDS与模拟PLL的比较

输出分辨率小:只要相位累加器的位宽足够大,参考时钟频率足够小,则分辨率可以很小:AD9850(参考时钟频率fc=125MHz)的相位累加器为32位,分辨率0.03Hz;AD9830(参考时钟频率fc=50MHz)的相位累加器为32位,分辨率0.012Hz;AD9852(参考时钟频率fc=300MHz)的相位累加器为48位,分辨率1*10-6Hz。相反,模拟锁相环的合成器的分辨率为1KHz,它缺乏数字信号处理的固有特性。

输出频率变换时间小:一个模拟锁相环的频率变换时间主要是它的反馈环处理时间和压控振荡器的响应时间,通常大于1ms。整片DDS合成器的频率变换时间主要是DDS的数字处理延迟,通常为几十个ns(AD9850最小43ns)。

调频范围大:一个负反馈环的带宽输出参考频率决定了模拟锁相环的稳定的调频范围;整片的DDS合成器是不受稳定性的影响的,在整个Nyquist频率范围内是可调的。

相位噪声:DDS优于PLL的最大优势就是它的相位噪声。由于数字正弦信号的相位与时间成线形关系,整片的DDS输出的相位噪声比它的参考时钟源的相位噪声小。而模拟锁相环的相位噪声是它的参考时钟的相位噪声的加倍。

体积小、集成度高:整片的DDS封装成小面积芯片,因而比PLL的占板面积小得多。

功耗小:整片的DDS的功耗比早期的离散型DDS要小,例如AD9850在3.3V功耗为155mW,以100MHz为参考时钟,产生一个40MHz的信号。这可以与离散型模拟锁相环相抗衡。

设计方便:整片DDS包括了信号D/A变换器,在系统设计时易于实现,而且现在的DDS不再需要专门的射频设计,简单的数字控制减少了硬件的复杂性。

但是DDS频率合成目前还存在工作频率高端受限,主要是受DAC器件速率限制,杂波电平高(较好的有-70dBc),作为时钟发生器时边缘抖动大等缺点。

四、实现DDS的三种技术方案

1

采用高性能DDS单片电路的解决方案

随着微电子技术的飞速发展,目前高超

性能优良的DDS产品不断推出,主要有QUALCOMM、AD、Sciteg和Stanford等公司单片电路(monolithic)。QUALCOMM公司推出了DDS系列Q2220、Q2230、Q2334、Q2240、Q2368,其中Q2368的时钟频率为130MHz,分辨率为0.03Hz,杂散控制为-76dBc,变频时间为0.1μs;美国AD公司也相继推出了他们的DDS系列:AD9850、AD9851、可以实现线性调频的AD9852、两路正交输出的AD9854以及以DDS为核心的QPSK调制器AD9853、数字上变频器AD9856和AD9857.AD公司的DDS系列产品以其较高的性能价格比,目前取得了极为广泛的应用。AD公司的常用DDS芯片选用列表见表1.下面仅对比较常用的AD9850芯片作一简单介绍。AD9850是AD公司采用先进的DDS技术1996年推出的高集成度DDS 频率合成器,它内部包括可编程DDS系统、高性能DAC及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器。接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的模拟正弦波输出。此正弦波可直接用作频率信号源或转换成方波用作时钟输出。AD9850接口控制简单,可以用8位并行口或串行口经、相位等控制数据。32位频率控制字,在125MHz时钟下,输出频率分产率达0.029Hz。先进的CMOS工艺使AD9850不仅性能指标一流,而且功耗少,在3.3V供电时,功耗仅为155mW。扩展工业级温度范围为-40~+85摄氏度,其封装是28引脚的SSOP表面封装。

AD9850采用32位相位累加器,截断成14位,输入正弦查询表,查询表输出截断成10位,输入到DAC。DAC输出两个互补的模拟电流,接到滤波器上。调节DAC满量程输出电流,需外接一个电阻Rset,其调节关系是Iset=32(1.248V/Rset),满量程电流为10~20mA。

2采用低频正弦波DDS单片电路的解决方案Micro LINEAR公司的电源管理事业部推出低频正弦波DDS单片电路ML2035以其价格低廉、使用简单得到广泛应用。ML2035特性:(1)输出频率为直流到25kHz,在时钟输入为12.352MHz野外频率分辨率可达到1.5Hz (-0.75~+0.75Hz),输出正弦波信号的峰-峰值为Vcc;(2)高度集成化,无需或仅需极少的外接元件支持,自带3~12MHz晶体振荡电路;(3)兼容的3线SPI串行输入口,带双缓冲,能方便地配合单片机使用;(4)增益误差和总谐波失真很低。

ML2035为DIP-8封装,各引脚功能如下:

(1)Vss:-5V电源;

(2)SCK:串行时钟输入,在上升沿将串行数据锁入16位移位寄存器;

(3)SID:串行数据输入,该串行数据为频率控制字,决定6脚输出的频率;

(4)LATI:串行数据锁存,在下降沿将频率控制字锁入16位数据锁存器;

(5)Vcc:+5电源;

(6)V out:模拟信号输出;

(7)GND:公共地,输入、输出均以此点作为参考点;

(8)CLK IN:时钟输入,可外接时钟或石英晶体。

ML2035生成的频率较低(0~25kHz),一般应用于一些需产生的频率为工频和音频的场合。如用2片ML2035产生多频互控信号,并与AMS3104(多频接收芯片)或ML2031/2032(音频检波器)配合,制作通信系统中的收发电路等。

可编程正弦波发生器芯片ML2035设计巧妙,具有可编程、使用方便、价格低廉等优点,应用范围广泛。很适合需要低成本、高可靠性的低频正弦波信号的场合。

ML2037是新一代低频正弦波DDS单片电路,生成的最高频可达500kHz。

3自行设计的基于FPGA芯片的解决方案

DDS技术的实现依赖于高速、高性能的数字器件。可编程逻辑器件以其速度高、规模在、可编程,以及有强大EDA软件支持等特性,十分适合实现DDS技术。ALTERA是著名的PLD生产厂商,多年来一直占据着行业领先的地位。ALTERA的PLD具有高性能、高集成度和高性价比的优点,此外它还提供了功能全面的开发工具和丰富的IP核、宏功能外它还提供了功能全面的开发工具和丰富的IP核、宏功能库等,因此ALTERA的产品获得了广泛的应用。ALTERA的产品有多个系列,按照推出的先后顺序依次为Classic系列、MAX (Multiple Array MATRIX)系列、FLEX(Flexible Logic Element MATRIX)系列、APEX (ADV ANCED Logic Element MATRIX)系列、ACEX系列、Stratix系列以及Cyclone等。

Max+plusII是ALTERA提供的一个完整的EDA开发软件,可完成从设备输入、编译、逻辑综合、器件适配、设计仿真、定时分析、器件编程的所有过程。QuartusII是ALTERA 近几年来推出的新一代可编程逻辑器件设计环境,其功能更为强大。

用Max+plusII设计DDS系统数字部分最简单的方法是采用原理图输入。相位累加器调用lmp_add_sub加减法器模拟,相位累加器的好坏将直接影响到整个系统的速度,采用流水线技术能大幅度地提升速度。波形存储器(ROM)通过调用lpm_rom元件实现,其LPM_FILE 的值*.mif是一个存放波形幅值的文件。波形存储器设计主要考虑的问题是其容量的大小,利用波形幅值的奇、偶对称特性,可以节省3/4的资源,这是非常可观的。为了进一步优化速度的设计,可以选择菜单Assign|Globan Project Logic Synthesis的选项Optimize10(速度),并设定Global Project Logic Synthesis Style为FAST,经寄存器性能分析最高频率达到100MHZ以上。用FPGA实现的DDS能工用在如此之高的频率主要依赖于FPGA先进的结构特点

五、DDS主要芯片

八十年代以来各国都在研制和发展各自的DDS产品,如美国QUALCOMM公司的Q2334,Q2220;STANFORD公司的STEL-1175,STEL-1180;AD公司的AD7008,AD9850,AD9854等。这些DDS芯片的时钟频率从几十兆赫兹到几百兆赫兹不等,芯片从一般功能到集成有D/A转换器和正交调制器。

六、DDS应用

虽然有的专用DDS芯片的功能也比较多,但控制方式却是固定的,因此不一定是我们所需要的。而利用FPGA则可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,具有良好的实用性。就可成信号质量而言,专用DDS芯片由于采用特定的集成工艺,内部数字信号抖动很小,可以输出高质量的模拟信号;利用FPGA也能输出较高质量的信号,虽然达不到专用DDS芯片的水平,但信号精度误差在允许范围之内。

DDS问世之初,构成DDS元器件的速度的限制和数字化引起的噪声这两个主要缺点阻碍了DDS的发展与实际应用。近几年超高速数字电路的发展以及对DDS的深入研究,DDS的最高工作频率以及噪声性能已接近并达到锁相频率合成器相当的水平。随着这种频率合成技术的发展,现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。

DDS介绍(自己整理)

DDS概要

1971年,美国学者J.Tierney等人撰写的“A DIGITAL Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给成原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct DIGITAL Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。

一、

DDS原理和结构

DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。

相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS输出的信号频率。

用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。

DDS在相对带宽、频率转换时间、高分头放力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。

下面以AD9850为例来谈一谈DDS的工作原理。DDS系统的核心是相位累加器,每来一个

时钟脉冲,它的内容就更新一次。在每次更新时,相位增量寄存器的相位增量M就加到相位累加器中的相位累加值上。假设相位增量寄存器的M为00...01,相位累加器的初值为00...00。这时在每个时钟周期,相位累加器都要加上00...01。如果累加器位宽n是32位,相位累加器就需要232个时钟周期才能恢复初值(见图2)。

相位累加器的输出作为正弦查找表的查找地址。查找表中的每个地址代表一个周期的正弦波的一个相位点,每个相位点对应一个量化振幅值。因此,这个查找表相当于一个相位/振幅变换器,它将相位累加器的相位信息映射成数字振幅信息,这个数字振幅值就作为D/A 变换器的输入。

例如n=32,

M=1, 这个相应的输出正弦波频率等于时钟频率除以232。如果M=2,输出频率就增加1倍。对于一个n-bit的相位累加器来说,就有2n个可能的相位点,相位增量寄存器中控制字M就是在每个时钟周期被加到相位累加器上的值。假设时钟频率为fc,那么输出正弦波的频率就为:

f0 = M*fc / 2n

这就是DDS的“tuning

equation”。这个系统的分辨率达fc / 2n ,如果n = 32 ,分辨率比40亿分之一还要好,在一个实际应用的DDS系统里,相位累加器的所有输出位并没有全部送到查找表,一般只取高K位(AD9850就只取高13到15位),于是既减少了查找表的规模,又不影响系统的频率分辨率。这个相位输出给最后的输出只带来小到可以接受的相位噪声。相位噪声基本上来源于参考时钟。

在DDS系统中,最重要的是对带宽和频率纯度之间的折中。如果时钟频率降低,则Nyquist频率下降,带宽减小,同时D/A变换器的分辨率提高,这样就可以得到更高的频率

纯度。所以,对DDS输出频率分频就可以减小带宽并且提高频谱纯度。模拟信号频谱

纯度主要取决于D/A变换器的性能。

上述基本DDS系统是相当灵活的。而且拥有高分辨率。它可以通过相位累加器来同时相位连续地改变频率。然而,实际DDS系统首先要在相位累加器之前加入一个内部缓冲寄存器(即图中的Data and control input register),通常这个缓存串行输入相位累积值,按顺序字节输入(Byte-load)相位控制字。由于相位增量寄存器和相位累加器是并行输入,加了缓存相当于串并转换,可以减少封装的管脚数。控制字载入缓存与相位增量寄存器以及相位累加器的并行输出是同步的,因此不影响DDS的速率。

二、DDS的特点

优点:

(1)输出频率相对带宽较宽

输出频率带宽为50%fs(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%fs。

(2)频率转换时间短

DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之后按照新的相位增量累加,才能实现频率的转换。因此,频率时间等于频率控制字的传输,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS的频率转换时间可达纳秒数量级,比使用其它的频率合成

方法都要短数个数量级。

(3)频率分辨率极高

若时钟fs的频率不变,DDS的频率分辨率就是则相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于1mHz甚至更小。

(4)相位变化连续

改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。

(5)输出波形的灵活性

只要在DDS内部加上相应控制如调频控制FM、调相控制PM和调幅控制AM,即可以方便灵活地实现调频、调相和调幅功能,产生FSK、PSK、ASK和MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形输出,如三角波、锯齿波和矩形波甚至是任意的波形。当DDS的波形存储器分别存放正弦和余弦函数表时,既可得到正交的两路输出。

(6)其他优点

由于DDS中几乎所有部件都属于数字电路,易于集成,功耗低、体积小、重量轻、可靠性高,且易于程控,使用相当灵活,因此性价比极高。

缺点:DDS也有局限性,主要表现在:

(1)输出频带范围有限

由于DDS内部DAC和波形存储器(ROM)的工作速度限制,使得DDS输出的最高频有限。目前市场上采用CMOS、TTL、ECL工艺制作的DDS工习片,工作频率一般在几十MHz 至400MHZ左右。采用GaAs工艺的DDS芯片工作频率可达2GHz左右。

(2)输出杂散大

由于DDS采用全数字结构,不可避免地引入了杂散。其来源主要有三个:相位累加器相位舍位误差造成的杂散;幅度量化误差(由存储器有限字长引起)造成的杂散和DAC非理想特性造成的杂散。

三、DDS与模拟PLL的比较

输出分辨率小:只要相位累加器的位宽足够大,参考时钟频率足够小,则分辨率可以很小:AD9850(参考时钟频率fc=125MHz)的相位累加器为32位,分辨率0.03Hz;AD9830(参考时钟频率fc=50MHz)的相位累加器为32位,分辨率0.012Hz;AD9852(参考时钟频率fc=300MHz)的相位累加器为48位,分辨率1*10-6Hz。相反,模拟锁相环的合成器的分辨率为1KHz,它缺乏数字信号处理的固有特性。

输出频率变换时间小:一个模拟锁相环的频率变换时间主要是它的反馈环处理时间和压控振荡器的响应时间,通常大于1ms。整片DDS合成器的频率变换时间主要是DDS的数字处理延迟,通常为几十个ns(AD9850最小43ns)。

调频范围大:一个负反馈环的带宽输出参考频率决定了模拟锁相环的稳定的调频范围;整片的DDS合成器是不受稳定性的影响的,在整个Nyquist频率范围内是可调的。

相位噪声:DDS优于PLL的最大优势就是它的相位噪声。由于数字正弦信号的相位与时间成线形关系,整片的DDS输出的相位噪声比它的参考时钟源的相位噪声小。而模拟锁相环的相位噪声是它的参考时钟的相位噪声的加倍。

体积小、集成度高:整片的DDS封装成小面积芯片,因而比PLL的占板面积小得多。

功耗小:整片的DDS的功耗比早期的离散型DDS要小,例如AD9850在3.3V功耗为155mW,以100MHz为参考时钟,产生一个40MHz的信号。这可以与离散型模拟锁相环相抗衡。

设计方便:整片DDS包括了信号D/A变换器,在系统设计时易于实现,而且现在的DDS不再需要专门的射频设计,简单的数字控制减少了硬件的复杂性。

但是DDS频率合成目前还存在工作频率高端受限,主要是受DAC器件速率限制,杂波电平高(较好的有-70dBc),作为时钟发生器时边缘抖动大等缺点。

四、实现DDS的三种技术方案

1

采用高性能DDS单片电路的解决方案

随着微电子技术的飞速发展,目前高超

性能优良的DDS产品不断推出,主要有QUALCOMM、AD、Sciteg和Stanford等公司单片电路(monolithic)。QUALCOMM公司推出了DDS系列Q2220、Q2230、Q2334、Q2240、Q2368,其中Q2368的时钟频率为130MHz,分辨率为0.03Hz,杂散控制为-76dBc,变频时间为0.1μs;美国AD公司也相继推出了他们的DDS系列:AD9850、AD9851、可以实现线性调频的AD9852、两路正交输出的AD9854以及以DDS为核心的QPSK调制器AD9853、数字上变频器AD9856和AD9857.AD公司的DDS系列产品以其较高的性能价格比,目前取得了极为广泛的应用。AD公司的常用DDS芯片选用列表见表1.下面仅对比较常用的AD9850芯片作一简单介绍。AD9850是AD公司采用先进的DDS技术1996年推出的高集成度DDS 频率合成器,它内部包括可编程DDS系统、高性能DAC及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器。接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的模拟正弦波输出。此正弦波可直接用作频率信号源或转换成方波用作时钟输出。AD9850接口控制简单,可以用8位并行口或串行口经、相位等控制数据。32位频率控制字,在125MHz时钟下,输出频率分产率达0.029Hz。先进的CMOS工艺使AD9850不仅性能指标一流,而且功耗少,在3.3V供电时,功耗仅为155mW。扩展工业级温度范围为-40~+85摄氏度,其封装是28引脚的SSOP表面封装。

AD9850采用32位相位累加器,截断成14位,输入正弦查询表,查询表输出截断成10位,输入到DAC。DAC输出两个互补的模拟电流,接到滤波器上。调节DAC满量程输出电流,需外接一个电阻Rset,其调节关系是Iset=32(1.248V/Rset),满量程电流为10~20mA。

2采用低频正弦波DDS单片电路的解决方案Micro LINEAR公司的电源管理事业部推出低频正弦波DDS单片电路ML2035以其价格低廉、使用简单得到广泛应用。ML2035特性:(1)输出频率为直流到25kHz,在时钟输入为12.352MHz野外频率分辨率可达到1.5Hz (-0.75~+0.75Hz),输出正弦波信号的峰-峰值为Vcc;(2)高度集成化,无需或仅需极少的外接元件支持,自带3~12MHz晶体振荡电路;(3)兼容的3线SPI串行输入口,带双缓

冲,能方便地配合单片机使用;(4)增益误差和总谐波失真很低。

ML2035为DIP-8封装,各引脚功能如下:

(1)Vss:-5V电源;

(2)SCK:串行时钟输入,在上升沿将串行数据锁入16位移位寄存器;

(3)SID:串行数据输入,该串行数据为频率控制字,决定6脚输出的频率;

(4)LATI:串行数据锁存,在下降沿将频率控制字锁入16位数据锁存器;

(5)Vcc:+5电源;

(6)V out:模拟信号输出;

(7)GND:公共地,输入、输出均以此点作为参考点;

(8)CLK IN:时钟输入,可外接时钟或石英晶体。

ML2035生成的频率较低(0~25kHz),一般应用于一些需产生的频率为工频和音频的场合。如用2片ML2035产生多频互控信号,并与AMS3104(多频接收芯片)或ML2031/2032(音频检波器)配合,制作通信系统中的收发电路等。

可编程正弦波发生器芯片ML2035设计巧妙,具有可编程、使用方便、价格低廉等优点,应用范围广泛。很适合需要低成本、高可靠性的低频正弦波信号的场合。

ML2037是新一代低频正弦波DDS单片电路,生成的最高频可达500kHz。

3自行设计的基于FPGA芯片的解决方案

DDS技术的实现依赖于高速、高性能的数字器件。可编程逻辑器件以其速度高、规模在、可编程,以及有强大EDA软件支持等特性,十分适合实现DDS技术。ALTERA是著名的PLD生产厂商,多年来一直占据着行业领先的地位。ALTERA的PLD具有高性能、高集成度和高性价比的优点,此外它还提供了功能全面的开发工具和丰富的IP核、宏功能外它还提供了功能全面的开发工具和丰富的IP核、宏功能库等,因此ALTERA的产品获得了广泛的应用。ALTERA的产品有多个系列,按照推出的先后顺序依次为Classic系列、MAX (Multiple Array MATRIX)系列、FLEX(Flexible Logic Element MATRIX)系列、APEX (ADV ANCED Logic Element MATRIX)系列、ACEX系列、Stratix系列以及Cyclone等。

Max+plusII是ALTERA提供的一个完整的EDA开发软件,可完成从设备输入、编译、逻辑综合、器件适配、设计仿真、定时分析、器件编程的所有过程。QuartusII是ALTERA 近几年来推出的新一代可编程逻辑器件设计环境,其功能更为强大。

用Max+plusII设计DDS系统数字部分最简单的方法是采用原理图输入。相位累加器调用lmp_add_sub加减法器模拟,相位累加器的好坏将直接影响到整个系统的速度,采用流水线技术能大幅度地提升速度。波形存储器(ROM)通过调用lpm_rom元件实现,其LPM_FILE 的值*.mif是一个存放波形幅值的文件。波形存储器设计主要考虑的问题是其容量的大小,利用波形幅值的奇、偶对称特性,可以节省3/4的资源,这是非常可观的。为了进一步优化速度的设计,可以选择菜单Assign|Globan Project Logic Synthesis的选项Optimize10(速度),并设定Global Project Logic Synthesis Style为FAST,经寄存器性能分析最高频率达到100MHZ以上。用FPGA实现的DDS能工用在如此之高的频率主要依赖于FPGA先进的结构特点

五、DDS主要芯片

八十年代以来各国都在研制和发展各自的DDS产品,如美国QUALCOMM公司的Q2334,Q2220;STANFORD公司的STEL-1175,STEL-1180;AD公司的AD7008,AD9850,AD9854等。这些DDS芯片的时钟频率从几十兆赫兹到几百兆赫兹不等,芯片从一般功能到集成有D/A转换器和正交调制器。

六、DDS应用

虽然有的专用DDS芯片的功能也比较多,但控制方式却是固定的,因此不一定是我们所需要的。而利用FPGA则可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,具有良好的实用性。就可成信号质量而言,专用DDS芯片由于采用特定的集成工艺,内部数字信号抖动很小,可以输出高质量的模拟信号;利用FPGA也能输出较高质量的信号,虽然达不到专用DDS芯片的水平,但信号精度误差在允许范围之内。

DDS问世之初,构成DDS元器件的速度的限制和数字化引起的噪声这两个主要缺点阻碍了DDS的发展与实际应用。近几年超高速数字电路的发展以及对DDS的深入研究,DDS的最高工作频率以及噪声性能已接近并达到锁相频率合成器相当的水平。随着这种频率合成技术的发展,现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。

相关主题