搜档网
当前位置:搜档网 › 数字逻辑期末复习题汇总

数字逻辑期末复习题汇总

数字逻辑期末复习题汇总
数字逻辑期末复习题汇总

一、选择题(每小题2分,共20分)

1.八进制(273)中,它的第三位数2 的位权为___B___。8A.(128) B.(64) C.(256) D.(8) 10101010

与它功能相等的函数表达式2. 已知逻辑表达式F?AB?AC?BC,

_____B____。

F?AB?CABF?B.A.F?AB?AC F?AB?BC.C.D

3. 数字系统中,采用____C____可以将减法运算转化为加法运算。

A.原码B.ASCII码C.补码D.BCD码

4.对于如图所示波形,其反映的逻辑关系是___B_____。

.无法判断.同或关系D.异或关系CA.与关系B 。的结果是____B_____ 连续异或1985个15.D.逻辑概念错误C.不确定B.1 0A.

___C_____。6. 与逻辑函数功能相等的表达式为DC?F?A?B?

DCB??F?A?D?B?CF?A?A..B

.DC.D?B?A?CFDF?ABC

为高阻态的逻F时,F=;C=17.下列所给三态门中,能实现C=0时,AB。辑功能的是____A______

A A &&

B F

F

B ??页37 共页1 第卷答案3 数字逻辑电路C

C

EN EN.

A A &&

F F B B ??CC EEN

DC

的频率为Q100KHZ,则输出8. 如图所示电路,若输入CP脉冲的频率为。

_____D_____200KHz . 500KHz B A.

50KHz D.C. 100KHz Q D

CP

C Q

。9.下列器件中,属于时序部件的是_____A_____ .多路选择器加法器

D.译码器C.计数器A.B

,“5”10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符应为

____C______。则译码器输出a~g0011011 D..1100011 C. 1011011 A. 0100100 B

e f c b d g a a

b f g 译码器 e c

d

A B C D

LED共阴极数码管页37 共页2 第卷答案3 数字逻辑电路

分)2分,共20二、填空题(每小题评卷人得分

__2.4-5____V。__5__V,高电平1对应的电压范围是11.TTL电路的电源是

N个输出端。对于每一组12.N个输入端的二进制译码器,共有_______2个输出

端是有效电平。输入代码,有____1____

位二进制数。13.给36个字符编码,至少需要____6______ 个触发器。存储12位二进制信息需要___12____14.

等四、__JK__、_T___D__15.按逻辑功能分类,触发器可分为__RS___、种类型。

n+1n则输入D=__0_____。= 0对于D触发器,若现态Q,要使次态Q=0,16.

特性表、特性方程、状态17.请写出描述触发器逻辑功能的几种方式___ 图、波形图_________。

_______。18.多个集电极开路门(OC门)的输出端可以 _____线与

n1n?特性方程为,_____,当19.T触发器的特性方程是___T=1时Q??QT n?1n_____。___这时触发器可以用来作___2分频器_____,Q?Q

触发器。__4____20.构造一个十进制的异步加法计数器,需要多少个

的频率之间的关系是CP计数器的进位Cy的频率与计数器时钟脉冲。﹕

10_____________1

评卷人得分分)(共三、分析题40

页37 共页3 第卷答案3 数字逻辑电路

21.(本题满分6分)用卡诺图化简下列逻辑函数

?)15,14,,10,12,131D)?m(0,,2,8,9,F(A,B,C解:画出逻辑函数F的卡诺图。得到

CD 10 AB 00 01 11 DBD?BC?AF?AB?AC? 1 00 1 1 01 1 11 1 1 1

1

10 1

1

22.(本题满分8分)电路如图所示,D触发器是正边沿触发器,图中给出了

时钟CP及输入K的波形。

n?1逻辑表达式。(2)画出(1)试写出电路次态输出的波形。QQQ,

CPQ=1KDQ

K

C CP

Q Q Q

n?1n解:Q??DQ?K Q

23.(本题满分10分)分析图示逻辑电路,求出F的逻辑函数表达式,化简后用最少的与非门实现之,并画出逻辑电路图。

页37 共页4 第卷答案3 数字逻辑电路

:

解F?[A?(B?C)(B?C)][AC?(B?C)(B?C)]?A(B?C)(B?C)?AC(B?C)(B?C)

)BC(BC?CA(BC?BC)?A?CABABC??ABC?CABBC??ABC?A

24.(本题满分16分)今有A、B、C三人可以进入某秘密档案室,但条件是A、B、C三人在场或有两人在场,但其中一人必须是A,否则报警系统就发出警报信号。试:

(1)列出真值表;(2)写出逻辑表达式并化简;(3)画出逻辑图。

解:设变量A、B、C表示三个人,逻辑1表示某人在场,0表示不在场。F表示警报信号,F=1表示报警,F=0表示不报警。

根据题意义,列出真值表

A B C

F

页37 共页5 第卷答案3 数字逻辑电路

0 0 0 0

1 1 0 0

1 1 0 0

1 1 0 1

1 1 0 0

0 1 1 0

0 1 0 1

1 1 1

由出真值表写出逻辑函数表达式,并化简

)B(A??BC?ACCBCCF?AB?ABC?A?A

画出逻辑电路图

&

A

1

F

1

≥ C

1

&

=1

B

分,共20分)四、综合应用题(每小题10

为使能控制端。、、3-8译码器74LS138逻辑符号如图所示,S125.SS32 4-16译码器。要求画出连接图说明设计方案。74LS138试用两片构成一个页37 共页6 第卷答案3 数字逻辑电路

Y YY YYYYY 0 27 36 5 14 74LS138

SSS AAA32 1 02 1

: 解

Y0

A0 A 1

A 2

74138

1

A3

Y7

Y8

74138

Y15

下图是由三个D26.

QQ Q1,在加入个CP脉冲后,=110 Q寄存器?设它初始状态Q Q002121Q Q 等于多少?脉冲后,等于多少?此后再加入一个CPQ021页37 共页7 第卷答案3 数字逻辑电路

QQQ1

2

CI

D CI

D CI

D

CP

时钟方程解:

CP?CP?CP?CP 201激励方程nnn QQD??DQD?,,102201状态方程

n1?1n?n?1nnn QD?QQ?D?QQQ??D?,,120120210状态表

1n1?1n?n?nnn QQQQQQ012012 1 0 1 1 1 0

0 1 1 1 0 1 1 1 0 0 1 1

画出状态图

页37 共页8 第卷答案3 数字逻辑电路

选择题一、

位二进制数来表示。 C 1.一位十六进制数可以用

16 D..4.2 C A.1B。B 2.十进制数25用8421BCD码表示为10101 D. C.100101 10 101

B.0010 0101 A.。 D 运算法则的是3. 以下表达式中符合逻辑21 1= D.A+

C.0<1 B.1+1=10 C A.·C=C

个变量取值组合?n个变量时,共有 D 4. 当逻辑函数有n2

D. 2 A. n B. 2n C. n。5.A+BC= C

C D.B+))(A+CA .A+B B.A+C C.(A+B

D 逻辑0。与非”运算的结果是“6.在何种输入情况下,全部输0 D.0 C.仅一输入是A.全部输入是0 B.任一输入是1

入是。 C 7. 以下电路中可以实现“线与”功能的有

D. 开路门出门 C.集电极B.A.与非门三态输门非CMOS与。 A 8.以下电路中常用于总线应用的有

SCMO D. 漏极开路门门 B.OC C.TSA.L门门与非9.若在编码器中有50个编码对象,则要求输出二进制代码位数为 B 位。

A.5

B.6

C.10

D.50

10.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。

A.1

B.2

C.4

D.16

11.四选一数据选择器的数据输出Y与数据输入Xi和地页37 共页9 第卷答案3 数字逻辑电路

址码Ai之间的逻辑表达式为Y= A 。

A. B. C. XAAAAAXAXAAAX?AX?AAX?111010010210311000D.

XAA30112.一个8选一数据选择器的数据输入端有 E 个。

A.1

B.2

C.3

D.4

E.8

13.在下列逻辑电路中,不是组合逻辑电路的有 D 。

A.译码器

B.编码器

C.全加器

D.寄存器

14.八路数据分配器,其地址输入端有 C 个。

A.1

B.2

C.3

D.4

E.8 。使 A ,实四用选一数据选择器现函数Y=应15.AAA A01100 =D= D=1

B.D=D=1,DDA.D=D=0,=330022110 D.D=D=1,D==D=1=D

C.D=0,D=D 33201210的码

数位二进制B 成N16.个触发器可以构能寄存

。寄存器N21 A.N-1 B.N C.N+ D. 触

17.在下列发器中,有约束。条件的是 C

/F FRSF C.同步F/B./F A.主从JK F 主从D

时(钟脉冲) F D D.边沿F/ 态。有,它 C 个稳制一

器个18.一触发可记录位二进代码 3 2 B.1 A.0 C. D.

4

E.个要 D 触器。发信进位存91.储8二制息 C.4 D.8

A.2

B.3

n1n+对02.于D=入使Q=,应输。 C Q使,器发D触欲页37 共页10 第

卷答案3 数字逻辑电路

A.0

B.1

C.Q

D. Q21.对于JK触发器,若J=K,则可完成 C

触发器的逻辑功能。

A.RS

B.D

C.T

D.Tˊ

n+1n工作,应使输入=D= D 22.欲使D触发器按Q 。Q A.0

B.1

C.Q

D. Q23.下列触发器中,没有约束条件的是 BD 。

A.基本RS触发器

B.主从RS触发器

C.同步RS触发器

D.边沿D触发器

24.为实现将JK触发器转换为D触发器,应使 A 。

A.J=D,K=

B. K=D,J=

C.J=K=D

D.J=K= DDD25.边沿式D触发器是一种

C 稳态电路。

A.无

B.单

C.双

D.多

26.把一个五进制计数器与一个四进制计数器串联可得到

D 进制计数器。

A.4

B.5

C.9

D.20

27.下列逻辑电路中为时序逻辑电路的是 C 。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

28. N个触发器可以构成计数器最大计数长度(进制数)为

D

2 C.N B. A.N 2N

N 2D.29. N个触发器可以构成能寄存 B 位二进制数码的寄存器。

页37 共页11 第卷答案3 数字逻辑电路

N 2 D. C.N+1 A.N-1 B.N

者异在于后序电路比较,其差30.同步时序电路和异步时。B

控制时钟脉冲 B.没有统一的A.没有触发器

有关部状态 D.输出只与内有C.没稳定状态

器。个触发需要 B D1.一位8421BC码计数器至少3 A.3 B.4

C.5

D.10

32.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同

步二进制计数器,最少应使用

B 级触发器。

A.2

B.3

C.4

D.8

33.8位移位寄存器,串行输入时经 D 个脉冲后,8位数码全部移入

寄存器中。

A.1

B.2

C.4

D.8

34.用二进制异步计数器从0做加法,计到十进制数178,则最少需要 D 个

触发器。

A.2

B.6

C.7

D.8

E.10

二、判断题(正确打√,错误的打×)

1. 方波的占空比为0.5。(√)

2. 8421码1001比0001大。(×)

3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√)

4.八进制数(18)比十进制数(18)小。(×)1085.当传送十进制数5时,

在8421奇校验码的校验位上值应为1。(√)

6.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。(√)

7.占空比的公式为:q = t / T,则周期T越大占空比q越小。(√)w页37 共

页12 第卷答案3 数字逻辑电路

8.十进制数(9)比十六进制数(9)小。(×)16109.逻辑变量的取值,

1比0大。(×)。

10.异或函数与同或函数在逻辑上互为反函数。(√)。

11.若两个函数具有相同的真值表,则两个逻辑函数必然相等。(√)。

12.若两个函数具有不同的真值表,则两个逻辑函数必然不相等。(√)

13.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。(×)

14.逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。

(√)

15.逻辑函数Y=A+B+C+B已是最简与或表达式。(×)C BAB10.对

逻辑函数Y=A+B+C+B利用代入规则,令A=BC代入,得C BAB Y=

BC+B+C+B=C+B成立。(×)CBCC BBB16.当TTL与非门

的输入端悬空时相当于输入为逻辑1。(√)

17.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。(V)

18.三态门的三种状态分别为:高电平、低电平、不高不低的电压。(×)

19.一般TTL门电路的输出端可以直接相连,实现线与。(×)

20.TTL OC门(集电极开路门)的输出端可以直接相连,实现线与。(√)21.共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。(×)

22.数据选择器和数据分配器的功能正好相反,互为逆过程。(√)

(×)23.用数据选择器可实现时序逻辑电路。n+1n无关,所以它,与Q没有24.D 触发器的特性方程为Q记忆=D功能。(×)

25.RS触发器的约束条件RS=0表示不允许出现R=S=1的输入。(√)

26.同步触发器存在空翻现象,而边沿触发器和主从触发页37 共页13 第卷答案3 数字逻辑电路

)√服了空翻。(器克号信进制计数器,控制27.若要实现一个可暂停的一位二)T=A。(× A=1保持,可选用T触发器,且令0A=计数,√28.同步时序电路由组合电路和存储器两部分组成。())27.组合电路不含有记忆功能的器件。(√

)28.时序电路不含有记忆功能的器件。(×

)29.同步时序电路具有统一的时钟CP控制。(√)30.异步时序电路的各级触发器类型不同。(×)31.计数器的模是指构成计数器的触发器的个数。(×√)32.计数器的模是指对输入的计数脉冲的个数。(

三、填空题其高上都是断续变化的,时间上和幅度 1.数字信号的特点是在

来表示。和0 电平和低电平常用1

逻辑关系有尔代数。最基本的辑8. 逻代数又称为布

与非三种。常用的几种导出的逻辑运算为、或、与

同异或、与或、与或非、非、

。或

逻、表达式9. 逻辑函数的常用表示方法有真值表、

、卡诺图。辑图

、、结合律10. 逻辑代数中与普通代数相似的定律有交换律

。反演律分配律。摩根定律又称为

、反演规则代入规则、11. 逻辑代数的三个重要规则是

。对偶规则

。D+B+的反函数= AB(C+)12.逻辑函数F=C D FA

。)13.逻辑函数F=A(B+C·1的对偶函数是A+BC+0

.已知函数的对偶式为+,则它的原函数为14 。BCD CBA15. 集电极开路门的英文缩写为 OC 门,工作时必须外加电源

和电阻。

页37 共页14 第卷答案3 数字逻辑电路

16.OC门称为集电极开路门,多个OC门输出端并联到一起可实现线与功能。

17.触发器有 2 个稳态,存储8位二进制信息要 3

个触发器。

18.一个基本RS触发器在正常工作时,它的约束条件是RRSS= 0

的信= 0 且号。+1=,则它不允许输入

Q,定义触发器的的输出端Q、191.触发器有两个互补Q=0 ,0状态为 Q=0 状态为 Q=1

Q=1 ,可见触发器的状态指的是 Q 端的状态。

20.一个基本RS触发器在正常工作时,不允许输入R=S=1的信号,因此它的约

束条件是 RS=0 。

21.在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触

发器不会出现这种现象。

22.半导体数码显示器的内部接法有两种形式:共阴极

接法和共阳极接法。

23.对于共阳接法的发光二极管数码显示器,应采用低

电平驱动的七段显示译码器。

24.数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路(有记忆动

能) 。

25.由四位移位寄存器构成的顺序脉冲发生器可产生 4

个顺序脉冲。

26.时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时序电路和

异步时序电路。

页37 共页15 第卷答案3 数字逻辑电路

四、综合题

1.用公式法和用卡诺图化简逻辑函数(第一章例题及作业,重点:1-19)

2.组合逻辑电路的分析和设计(第三章第二节写真值表、卡诺图、逻辑图)

3.用译码器(74LS138)或数据选择器(74LS151)实现逻辑函数(3.

4.1及3.

5.2,

例题3-8、3-11,习题3-16、3-17)

4.在给定的触发器的逻辑电路图和输入信号波形,画出触发器输出波形(主要是:D触发器和JK触发器、习题:4-7、4-8)

5.时序电路的分析(第五章第二节,例题5-1、5-3,习题5-4、5-6)

6.同步式集成计数器74LS161实现任意进制的计数器(用复位法或置位法)(5.4.5节,例题:5-6、5-7,注意会改其他进制数计数器)

一、选择题

页37 共页16 第卷答案3 数字逻辑电路

。1.以下代码中为无权码的为

格雷码. D C. 余三码 A. 8421BCD码 B. 5421BCD码。.以下代码中为恒权码

的为2格雷码 D. C. 余三码 A.8421BCD码 B. 5421BCD码

。4.十进制数25用8421BCD码表示为10101 D. C.100101 A.10 101

B.0010 0101

。.与十进制数(53.5)等值的数或代码为5104)(65. D.C.(110101.1) A.(0101 0011.0101)

B.(35.8) 8 8421BCD162:为值的数(47.3)等6.与八进制数8)111.11 D. (10027.6)

C.(27.3 ) A. (100111.011) B.(216162。有用7. 常的BCD码码三码

D.余C.格雷码 8421A.奇偶校

验码 B. 。有数字电路主要的优点拟8.与模电路相比,力强抗D.干扰能 C.保密性好

A.容易设计

B.通用性强

。是逻辑运算法则的合9. 以下表达式中符2 1+1= 1 D.A1+=10 C.0< A.C·C=C

B.1 。10. 逻辑变量的取值1和0可以表示:

电流的有、无 C.真与假 D. A.开关的闭合、断开 B.电位的高、低个变量取值组

合?n个变量时,共有 11. 当逻辑函数有n2 D. A. n B. 2n C. n 2 。逻辑函数的表示方法中具有唯一性的是 12.

图卡诺逻辑图 D.真值表A . B.表达式 C.。13.F=A +BD+CDE+D=

AB C. A. B.)B?DDD(A?)()(A?B DBA? D.)DD?)(B?(A。 = 14.逻辑函

数F=)?BA?(A D. C. B.A A.B A?BBA?

16.A+BC= 。

页37 共页17 第卷答案3 数字逻辑电路

B+C(A+C) D.B B.A+C C.(A+B)A .A+ 逻辑0。,“与非”运算的结果

是情17.在何种输入况下 1 仅一输入是0 D.全部输入是 A.全部输入是0 B.任

一输入是0 C. 逻辑0。“或非”运算的结果是18.在何种输入情况下, 1 D.,

其他输入为0 B.全部输入是1 C.任一输入为0 A.全部输入是1 任一输入为三、填空

题。,数字电路又称作7.分析数字电路的主要工具是

等。常用的可靠、码有、、8.常用的BCD

等。、性代码有

、代数。最基本的逻辑关系有、10. 逻辑代数又称为

算辑运导出的逻三种。常用的几种。、为、、、

。、11. 逻辑函

数的常用表示方法有、

。摩根定、12. 逻辑代数中与普通代数相似的定律有、

。律又称为

。、、逻辑代数的三个重要规则是13.

。14.逻辑函数F= +B+D 的反函数= C FA。115.逻辑函数F=A(B+C)·的

对偶函数是

。16.添加项公式AB+ C+BC=AB+C的对偶式为AA F= 。+A+B+C+D=

17.逻辑函数C DBA。18.逻辑函数= F= ABB?A?AB?AB一、选择题。险竞争冒的

有式1.下列表达中不存在 D.AB+AB Y=(A)+AYBB.B A.Y=+A Y=AC+

C.=C DBBB数位进,则要求输出二制代码象个中在2.若编码器有50编码对为位。 50

D.0C. 6 5 A. B. 1页37 共页18 第卷答案3 数字逻辑电路

端)制输入入(选择控输一的数据选择器,其地址3.一个16选。个有

6 D.1 C.4 2 A.1 B.

。式有无等式中冒险现象的函数4.下列各函数 B.A.

BA?BC?F?F?BC?AC?ABAC D. C.

CAAB?F?BC?AC?AB?BCAF?AC?BC?B?AB? E.B?AAC?ABF?BC?险现冒时,将出

取值为 5.函,数当变量的C?BF?AC?AB象。现 =00,B 0 D.A=C=0 C.A=1,

C== A.B=C=1 B.B D.XAA310码。进制代位二器1.101键盘的编码输出 18 D.

B.6

C.7A.2

配分路数据码输出的8L码器74S138实现原12.用三线-八线译。器,应

D,,=D=,=0 B. 1A.==,=D1STSTSTSTSTST AACCBB0=,0= D. =DC.=1,,

=0=,D STSTSTSTSTST AACBBC出单输于实现电路,适路13.以下电中,加以适当辅助门

路。逻辑电组合示显D.七段值比较器码器 B.数据选择器 C.数A.二进制译器译码数函逻辑

门电路实现4器7LS138和辅助线15.用三-八线译码。=,应Y AA?A122= 门

与,Y = B.用门A.用与非,Y YYYYYYYY32745016= D.用或门,Y

或C.用门,Y = Y?Y?Y?YY?YY?Y?74203615二、判断题(正确打√,错误的打×))9.优先

编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。()编码与译码是互逆的过程。

( 10.

页37 共页19 第卷答案3 数字逻辑电路

11.二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。()

12.液晶显示器的优点是功耗极小、工作电压低。()

13.液晶显示器可以在完全黑暗的工作环境中使用。()

14.半导体数码显示器的工作电流大,约10mA左右,因此,需要考虑电流驱动能力问题。()

15.共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。()

16.数据选择器和数据分配器的功能正好相反,互为逆过程。()

17.用数据选择器可实现时序逻辑电路。()

18.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。()

一、选择题

nn+1=1,态Q应使输入器,若原态Q1=,欲使新5.对于T触发T= 。

D. Q B.1 C. A.0 Q n+1n工作,可使JQK触发=Q器的输入器8.欲使JK触发按

端。

=,K=Q D.J=Q,K=00 B.J=Q,K = C.J A.J=K=QQ=K J=0,E.Q n+1n工作,可使JK触发器9.欲

使JK触发器按Q的输入=Q端。

=,K=Q D.JJ=Q,K=1 QKA.J==1 B.J=,KC.= QQ E.J=1,K=Q

n+1=0工作,可使JK触发器的输触10.欲使JK发器按Q入端。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=1

D.J=0,K=1

E.J=K=1

n+1=1工作,可使JQ触使JK发器按K触发器的输入欲11.端。

页37 共页20 第卷答案3 数字逻辑电路= D.J=K=0 K=1 B.J=1,K=0 C.J=KA.J=Q

=,K=E.J0 Q n+1n工作,应使输入D= 发12.欲使D触器按Q 。 =Q D. C.Q

0 B.1 A.Q13.下列触发器中,克服了空翻现象的有。

A.边沿D触发器

B.主从RS触发器

C.同步RS触发器

D.主从JK触发器

14.下列触发器中,没有约束条件的是。

A.基本RS触发器

B.主从RS触发器

C.同步RS触发器

D.边沿D触发器

15.描述触发器的逻辑功能的方法有。

A.状态转换真值表

B.特性方程

C.状态转换图

D.状态转换卡诺图

二、判断题(正确打√,错误的打×)

n+1n无关,所以它没有记=D,与Q忆功能1. D触发器的特性方程为Q。()

2. RS触发器的约束条件RS=0表示不允许出现R=S=1的输入。()

3.主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。()

4.若要实现一个可暂停的一位二进制计数器,控制信号A=0计数,A=1保持,可选用T触发

器,且令T=A。()

5.由两个TTL或非门构成的基本RS触发器,当R=S=0时,触发器的状态为不定。

6.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次。()

三、填空题

RS=1+束,时它的约条件是,作常在发S本个.2一基R触器正工页37 共页21 第卷答案3 数

字逻辑电路RS= 的且信允许输号入。= 则它不Q,定义触发器的

1状个互补的输出端Q态、3.触发器有两为,0状态为,可见触发器的

状态指的是端的状态。

4.一个基本RS触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是。

5.在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的,触发方式为

式或式的触发器不会出现这种现象。

一、选择题

1.同步计数器和异步计数器比较,同步计数器的显著优点是。

A.工作速度高

B.触发器利用率高

C.电路简单

D.不受时钟CP控制。

2.把一个五进制计数器与一个四进制计数器串联可得到

进制计数器。

A.4

B.5

C.9

D.20

3.下列逻辑电路中为时序逻辑电路的是。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

4. N个触发器可以构成最大计数长度(进制数)为的计数器。

2 C.N A.N B.2N

N 2D.5. N个触发器可以构成能寄存位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

6.五个D触发器构成环形计数器,其计数长度为。

A.5

B.10

C.25

D.32

页37 共页22 第卷答案3 数字逻辑电路

。在于后者步时序电路比较,其差异7.同步时序电路和异冲控制一的时钟脉

B.没有统A.没有触发器

态有关只与内部状 D.输出C.没有稳定状态器。个触发码计数器至少需要.8一位

8421BCD 10 D. C.5 A.3 B.4

设如果计数器,,6,7这几个数的9.欲设计0,1,2,3,4,5发级触器,最少应使用合计

理,采用同步二进制计数器。 8 D.C.4 B.3 2A.

全码,8位数个脉冲后.8位移位寄存器,串行输入时经10。存器中部移入寄 8 D.

B.2

C.4 A.1

最8,则进到十制数17异步计数器从0做加法,计进11.用二制发器。个触少需要

E.10 D.8 6A.2 B. C.7H00器将315描发生器需要一个分频12.某

电视机水平-垂直扫Z需要欲构成此分频器至少的脉冲转换为60H的脉冲,Z。

触个发器 0150 D.36 B.0 C.525 A.10

存在该寄,欲0KH将存放存位寄器的时钟脉冲频率为1013.某移Z时间。要,数左移8位

完成该操作需器中的 s0D.80m00μS A.10μS B.80μS C.1n1n?ABQAQ??方

特发器来实现性方程K为端的,则JJ14.若用K触。程为

=,D.J ,=K=ABC.,J BA.J=A,K= B.=ABK = J BBAA B?ABA?K=AB

15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要

片。

页37 共页23 第卷答案3 数字逻辑电路

A.3

B.4

C.5

D.10

16.若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用个触发器。

A.2

B.3

C.4

D.10

二、判断题(正确打√,错误的打×)

1.同步时序电路由组合电路和存储器两部分组成。()

2.组合电路不含有记忆功能的器件。()

3.时序电路不含有记忆功能的器件。()

4.同步时序电路具有统一的时钟CP控制。()

5.异步时序电路的各级触发器类型不同。()

6.环形计数器在每个时钟脉冲CP作用时,仅有一位触发器发生状态更新。()

7.环形计数器如果不作自启动修改,则总有孤立状态存在。()

8.计数器的模是指构成计数器的触发器的个数。()

9.计数器的模是指对输入的计数脉冲的个数。()

n+1n无关,所以,D触与=D,而Q发10.D触发器的特征方程Q器不是时序电路。()

N,为2的状态数,若最简状态表中序11.在同步时电路的设计中而又是用N级触发器来实现其电路,则不需检查电路的自启动性。()

12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。()

13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使用同步二进制计数器。()

14.利用反馈归零法获得N进制计数器时,若为异步置零方式,则状态SN只是短暂的过渡状态,不能稳定而是立刻变为0状态。()

三、填空题

1.寄存器按照功能不同可分为两类:寄存器和

页37 共页24 第卷答案3 数字逻辑电路

寄存器。

2.数字电路按照是否有记忆功能通常可分为两类:、。

3.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。

4.时序逻辑电路按照其触发器是否有统一的时钟控制分为

时序电路和时序电路。

一、选择题(每小题2分,共20分)

1.八进制(273)中,它的第三位数2 的位权为___B___。8A.(128) B.(64) C.(256) D.(8) 10101010

与它功能相等的函数表达式2. 已知逻辑表达式F?AB?AC?BC,

_____B____。

F?AB?CABF?B.A.F?AB?AC F?AB?BC D C..3. 数字系统中,采用____C____可以将减法运算转化为加法运算。

A.原码B.ASCII码C.补码D.BCD码

4.对于如图所示波形,其反映的逻辑关系是___B_____。

A.与关系B.异或关系C.同或关系D.无法判断

5.连续异或。1985个1的结果是____B_____

A.0B.1 C.不确定D.逻辑概念错误

6. 与逻辑函数功能相等的表达式为___C_____。DC??FA?B?

D?B?CF?A?F?A?B?C?D.A.B

C.D.DC??AB?FDF?ABC7.下列所给三态门中,能实现C=0时,F=;C=1时,F为高阻态AB的逻辑功能的是____A______

A A &&

B F F

B ??页 3 数字逻辑电路卷答案第25 页37 共

C

C

EN EN.

A A &&

F F B B ??C C EN EN

D C

脉冲的频率为100KHZ,则输出Q8. 如图所示电路,若输入CP的频率为

_____D_____。

A. 500KHz B.200KHz

50KHz . 100KHz D C.Q D

CP

C Q

_____A_____。9.下列器件中,属于时序部件的是A.计数器B.译码器C.加法器D.多路选择器

10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。

A. 0100100 B.1100011 C. 1011011 D.0011011

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

2014-2015数字逻辑试卷

数字电路与逻辑设计期末考试样题 一、TO FILL YOUR ANSWERS IN THE “( )”(1’ X 5) 1. An unused CMOS NAND gate input should be tied to logic ( ) or another input. 2. DAC can proportionally convert ( ) input to analog signal output. 512 3. A truth table for a ( ) input, 4-output combinational logic function could be stored in a 4 EPROM. 4. The RCO output of 74X163 is asserted if and only if the enable signal ( )is asserted and the counter is in state …1111?. 5. If the signed-magnitude representation is(001101)2 for one number, then it?s 8-bit two?s complement representation is()2. 二、Single selection problems: there is only one correct answer in the following questions.(2’ X 5) 1、An 8-output demultiplexer has ( ) select inputs. A. 2 B. 3 C. 4 D. 5 2、For a logical function ,which representation as follows is one and only(唯一). ( ) A. logic expression B. logic diagram C. truth table D. timing diagram 3、In general, to complete the same function, compared to a MOORE machine, the MEAL Y machine has ()。 A. more states B. fewer states C. more flip-flops D. fewer flip-flops 4、To design a “1000001” serial sequence generator by shift registers, at least needs a ( ) bit shift register. A. 2 B. 3 C. 4 D.5 5、The following logic expressions is equal, and the hazard-free one is ( ). A. F=B?C?+AC+A?B B. F=A?C?+BC+AB? C. F=A?C?+BC+AB?+A?B D. F=B?C?+AC+A?B+BC+AB?+A?C?

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分)

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

2015《数字逻辑设计》期末考试-试题及参考解答

………密………封………线………以………内………答………题………无………效…… 电子科技大学2014 -2015学年第 2 学期期末考试 A 卷 课程名称:数字逻辑设计及应用考试形式:闭卷考试日期:2015年07 月13 日 考试时长:120 分钟 课程成绩构成:平时20/30 %,期中20/30 %,讨论20/0 %,期末40 % 本试卷试题由IV 部分构成,共 4 页。 I. Please fill the correct an swers in the brackets “( )” . ( 4’ X 10 = 40’ ) 1. For an 8-bit DAC (Digital Analog Converter), when the input is 010000002, its output voltage is 1.25V; If the input is 101000002 , the output voltage will be ( 3.125 或等效算式)V. 2. A state transition equation is Q*=JQ’+K’Q. If we use D flip-flop to complete the equation,the input of D flip-flop should be D = ( JQ’ + K’Q). 3. For a counter with N flip-flops, it has at most ( 2n) states. If the counter is Johnson counter, it has ( 2n) valid states. 4. After modification, an N-bit LFSR (Linear Feedback Shift Register) has (2N或2N-1) states. 5. D latch is also called transparent latch, it has two input signal D and C, one output signal Q. The relationship between the output Q and the input D is ( Q*=DC + QC' 或C=1时Q*=D, C=0时Q*=Q ). 6. To build a 64K x 8 ROM, we need ( 16 ) 4K x 8 ROM . 7. Only when both two-bit inputs A(A1,A0) and B(B1,B0) are equal, the output AEQB is 1, so the logic expression of AEQB is ( (A1⊙B1)·(A2⊙B2) 或其他等效表达). 8. State/output table for a sequential circuit is shown as table 1. X is input and Z is output. Assume that the initial state is S0, if the input sequence is X=10110110, the output sequence should be ( 100011108位或9位均算正确). 9. Transition/output table for a sequential circuit is shown in Table 2, X is input and Y is output, the sequential circuit is a modulus ( 4) up/down counter.

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

湖大数字逻辑期末试卷(A卷)试题及答案

数字逻辑(A) 2010 — 2011 学年第一学期 课程名称:___数字逻辑________ 学生姓名:___________________ 学号:___________________ 专业:____软件工程_______ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 一二三四五六七八总分阅卷人签名 一、填空题(20分,每空2分) 1. (2010)D =()B = ()H = ()8421BCD 答案:(111 1101 1010)B= (7DA)H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A、B两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为。 答案:与逻辑 3. 逻辑函数式F=AB+AC的对偶式为,最小项表达式为 ∑ F( )。 =m 答案:) F D+ + A =∑ (C B )( A F(5,6,7) =m 2.逻辑函数D ' '+ ' + ' + =的最简与或式 ' + + CD C A AC AB D ABC F' ABD C 是。 答案:'D A+ 4. 从结构上看,时序逻辑电路的基本单元是。 答案:触发器 5. JK触发器特征方程为。 答案:Q '+ K JQ' 6.A/D转换的一般步骤为:取样,保持,,编码。 答案:量化 二、选择题(20分,每题2分)

1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 +U CC +U CC -U BB R R K R C R B A B C F

数字逻辑期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 一、判断题:下面描述正确的打‘V' ,错误的打‘X'(每小题1分,共10 分) 为了表 示104个信息,需7位二进制编码[V ] BCD 码能表示0至15之间的任意整数 余3码是有权码[X ] 2421码是无权码[X ] 二值数字逻辑中变量只能取值 计算机主机与鼠标是并行通信 计算机主机与键盘是串行通信 占空比等于脉冲宽度除于周期 1、 2、 3、 4、 5、 6、 7、 8 9、 0和1 , [X ] [V ] [V ] 且表示数的大小[X ] 上升时间和下降时间越长,器件速度越慢 10、卡诺图可用来化简任意个变量的逻辑表达式 二、写出图中电路的逻辑函数表达式。 (每小题 5分, 共10分) 1、F=A ? B 2、F= AB +CD B 三、选择题:(多选题,多选或少选不得分,每小题 2分,共20 分) *Uc< f UR i-u.

3、满足如图所示电路的输出函数F 的表达式为土卫_。 (A) F = ABCD (B) F = AB ? CD (E)F= A BCD 4 . 己知F = ABC + CD,可以肯定使F = 0的情况是』 5 ■ 逻辑函数AB +BCD +AC+ B C 可化簡为扎B,G D 一 (A) AB + AC + B C (B) AB + C (A + B) (C) AB +CAB (D) AB + C (E) 1 K 在图示电路中,能实现逻辑功能百的电路是」 TTL 电 路 (5 (U) 如图CMM 电路中,能实现非功能的是, 2? (A) (吕 ) (D) (E) □ - i - o (C)F= A + B + C + D (D)F= A + B ? C + D (A) A= 0, BC= 1 ; (B) B = 1 , C = 1 ; (C) AB = 1 , CD = 0; (D) BC=1, D= 1 B A C D D Q F

数字逻辑期末考试试卷(含复习资料)

2007-2008学年第一学期 期末考试试题(答案) 考试科目:数字逻辑 试卷类别:3卷 考试时间:110 分钟 计算机学院 系 级 班 姓名 学号 毛 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的 位权为。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式,与它功能相等的函数表达式。 A . B . C . D . 3. 数字系统中,采用可以将减法运算转化为加法运算。 A . 原码 B .码 C . 补码 D . 码 4.对于如图所示波形,其反映的逻辑关系是。 得分 评卷 人 装 订 线 内 请 勿 答 题

A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数 功能相等的表达式为。 A . B . C . D . 7.下列所给三态门中,能实现0时,;1时,F 为高阻态的逻辑功能的是。 8. 如图所示电路,若输入脉冲的频率为100,则输出Q 的频率 F C B A & D C B A F & A B F C B A & F C B A & C

为。 A . 500 B .200 C . 100 D .50 9.下列器件中,属于时序部件的是。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为。 A . 0100100 B .1100011 C . 1011011 D .0011011 二、填空题(每小题2分,共20分) ,高电平1对应的电压范围是2.4-5。 12个输入端的二进制译码器,共有个输出端。对于每一组输入代码,有1个输出端是有效电平。 得分 评卷 人 共阴极数码管 A B C D a b c d e f g 译码器 g f d e c a b Q Q D C 装 订 线 内 请 勿 答

数字逻辑期末复习题资料

一、选择题 (每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式 _____B____。 A .A B F = B . C AB F += … C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 · A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B . D C B A F +++= C . D C B A F = D .D C B A F ++= … 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 B A F [ & ? F B 、 A & ?

~ : 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz 【 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011