搜档网
当前位置:搜档网 › 北航网络实验预习报告_实验2-预习报告

北航网络实验预习报告_实验2-预习报告

北航网络实验预习报告_实验2-预习报告
北航网络实验预习报告_实验2-预习报告

实验二数据链路层网络层协议分析

1.划分虚拟局域网(VLAN)有什么作用?写出VLAN数据帧的传输过程。

隔离广播域,避免广播风暴现象。交换机接收某数据帧,根据数据帧中的tag域或者接收端口的缺省VLAN ID判断该数据帧应转发到那些端口,如目标端口连接的是普通主机,则删除tag域后再发送数据帧;如目标端口连接的是交换机,则添加tag域后再发送数据帧。

2.请写出Access端口、Trunk端口和Hybrid端口有什么不同?如何将一个交换机端口配置成Trunk端口和Hybrid端口,参考教材和课件分别写出他们的配置命令。

Access端口:只能属于1个VLAN,从该端口出去的数据包不带tag,一般接主机或路由器;Trunk端口:可以属于多个VLAN,可以接收和发送带多种VLAN标签的报文,一般用于交换机之间连接的端口,只允许缺省VLAN的报文不打标签;

Hybrid端口:可以属于多个VLAN,可以接收和发送多个VLAN的报文,允许多个VLAN 的报文不打标签,可以用于交换机之间连接,也可以用于接用户的计算机。

配置Trunk端口:port link-type trunk

配置Hybrid端口:port link-type hybrid

3.PPP的两种身份验证协议PAP协议和CHAP协议有什么不同?请参考补充教材上2.4.6.2节,写出R1为被验证方,R2为主验证方时,PAP验证方式和CHAP验证方式下R1和R2路由器的配置。

PAP是两次握手验证协议,口令以明文传送,被验证方首先发起验证请求;CHAP是三次握手验证协议,不发送口令,主验证方首先发起验证请求,安全性比PAP高。

PAP:

[R2]local-user RTA //配置用户列表

[R2-user-RTA]service-type ppp //配置服务类型

[R2-user-RTA]password simple aaa //配置用户对应密码

[R2]interface serial 0/0 //进入路由器接口视图

[R2-serial0/0] ppp authentication pap //授权PAP验证

[R2-serial0/0] shutdown //关闭端口

[R2-serial0/0] undo shutdown //启用端口

[R1]interface serial 0/0 //进入路由器接口视图

[R1-serial0/0]ppp pap local-user RTA password simple aaa //配置PAP用户名和密码

[R1-serial0/0] shutdown //关闭端口

[R1-serial0/0] undo shutdown //启用端口

CHAP:

[R2]local-user RTA //配置用户列表

[R2-user-RTA]service-type ppp //配置服务类型

[R2-user-RTA]password simple aaa //配置用户对应密码

[R2] interface serial 0/0 //进入路由器接口视图

[R2-serial0/0] ppp authentication-mode chap //授权CHAP验证

[R2-serial0/0] ppp chap user RTB //配置本地名称

[R2-serial0/0] shutdown //关闭端口

[R2-serial0/0] undo shutdown //启用端口

[R1]local-user RTB password simple aaa //配置用户列表

[R1]local-user RTB service-type ppp //配置服务类型

[R1]interface serial 0/0 //进入路由器接口视图

[R1-serial0/0] ppp chap user RTA //配置本地名称

[R1-serial0/0] shutdown //关闭端口

[R1-serial0/0] undo shutdown //启用端口

4.请写出帧中继协议的工作过程。假设R1为DCE端,R2为DTE端,配置帧中继协议需要哪些命令?

DCE端将待发送的数据帧封装成FR帧,送入FR网络进行传输,DTE端将收到的FR帧去封装成以太帧,交付给目的网络。

[R2-Serial0]link-protocol fr

[R1]fr switching //使能帧中继交换协议

[R1-Serial0]link-protocol fr //封装帧中继接口

[R1-Serial0]fr interface-type DCE //封装帧中继接口类型

[R1-Serial0]fr dlci 20 //为接口分配DLCI

5.请写出3.1.6中步骤9的交换机的VLAN3的配置命令,3.2.6中步骤1的交换机配置命令,以及3.3.6中步骤4的交换机配置命令。

3.1.6-9

[Quidway]vlan 3

[Quidway-vlan3]port e 0/13

[Quidway-vlan3]interface vlan 3

[Quidway-Vlan-interface3]ip address 192.168.2.10 24

3.2.6-1

[Quidway]vlan 2

[Quidway-vlan3]port e 0/1

[Quidway-vlan3]interface vlan 2

[Quidway-Vlan-interface2]ip address 10.1.2.1 24

[Quidway]vlan 3

[Quidway-vlan3]port e 0/23

[Quidway-vlan3]interface vlan 3

[Quidway-Vlan-interface3]ip address 10.1.3.1 24

3.3.6-4

[S1]undo ip routing

6.请简述主机发送数据报和路由器转发数据报的过程,思考路由表中应该包含的字段,写出查看路由表的命令。

主机将待发送数据报的目的地址同自己的子网掩码逐位相与,判断运算结果是否等于其所在的网络地址,是则将数据报直接交付到本网络,否则发往下一跳路由器。路由器转发数据报,首先判断是否直接交付,是则直接将数据报转发到相应网络,否则根据其目的IP地址查找路由表确定下一跳进行数据报转发。

[R1]display ip routing-table

7.请写出实验报告中设计型实验1的主要配置命(设计型实验1见补充教材)。

C类地址最多接254台主机。每组至少16台主机,确定子网掩码为255.255.255.224,每个子网最多29台主机。1~30,33~62,65~94,97~126,129~158,161~190,193~222,

225~254,共8组。

[S1] interface e 0/2

[S1-Ethernet0/2] port link-type trunk

[S1-Ethernet0/2] port trunk permit vlan 2

[S1]interface vlan 2

[S1-Vlan-interface2] ip address 202.108.100.1 27

[S1] interface vlan 1

[S1-Vlan-interface1] ip address 211.100.217.193 24

[S1] ip route-static 0.0.0.0 0.0.0.0 211.100.217.192

[R1] ip route-static 202.108.100.0 255.255.255.0 211.100.217.193

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航实验报告实验实验

实验三UC-OS移植实验 一、实验目的 在内核移植了uCOS-II 的处理器上创建任务。 二、实验内容 1.运行实验十,在超级终端上观察四个任务的切换。 2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。 3.引入一个全局变量BOOLEAN ac_key,解决完整刷屏问题。 4. #define rUTRSTAT0 (*(volatile unsigned *)0x50000010) #define RdURXH0()(*(volatile unsigned char *)0x50000024) 当键盘有输入时在超级终端上显示相应的字符。 三、实验设备 硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。 软件:PC机操作系统Win2000或WinXP、ARM ADS1.2集成开发环境、仿真器驱动程序、超级终端通讯程序。 四、实验原理 所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。 要使uCOS一工工可以正常工作,处理器必须满足以下要求: 1)处理器的C编译器能产生可重入代码。 2)在程序中可以打开或者关闭中断。 3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。 4)处理器支持能够容纳一定量数据的硬件堆栈。 5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指

实验7_北航研究生计算机网络实验

实验九IPv6实验 1. 3.5节步骤2中,请思考下面问题: 主机加入到组播组中的过程是什么? 答:1. 主机通过地址自动配置,获得多播组地址; 2.主机发送MLD多播侦听报文给本地链路的路由器; 3.路由器根据报文中的信息,向多播转发表中添加表项,以记录多播组的成员身份。 4. 3.5节步骤3中,仔细观察PC1与RT1之间的交互报文,回答下述问题: 1)为什么报文中的“next header”采用hop-by-hop的选项? 答:因为hop-by-hop选项规定该报文的传送路径上每台中间节点都要读取并处理该拓展报头,起到提醒路由器对MLD报文进行深入检查的作用。 2)为什么跳数被限制为1? 答:为了将此报文限制在链路本地上。 3)在“Hop-by-Hop”选项中,有一个“Padn”,它的作用是什么? 答:为了使字段符合对齐要求。 5. 3.5节步骤4中,仔细观察Router Solicitation的报文,回答下述问题: 1)在前面的multicast listener report报文中,报文的跳数限制为1,而在这里, 同样是主机发给路由器的报文,为什么跳数却采用255? 答:因为节点只接受跳数限制为Cur Hop Limit字段的RA报文,所以主机无须担心接收到链路外的RA报文,所以主机在发送RS报文时无须担心RS报文传递到本地链路外。 2)报文中的ICMP选项中的“source link-layer address”的作用是什么? 答:表示发送该RS报文的接口的链路层地址,这样路由器在接收到RS报文后无须进行地址解析就可以返回单播的RA报文。

6. 3.5节步骤6中,仔细观察Router Advertisement的报文,回答下述问题: 1)“Cur hop limit”的含义是什么? 答:主机发送普通报文时使用的默认跳数限制。 2)报文中“lifetime”的含义是什么? 答:发送该RA报文的路由器作为缺省路由器的生命周期。 3)“reachable time”的含义是什么? 答:本链路上所有节点的“可达”状态保持时间。 4)“retransmit time”的含义是什么? 答:重传NS报文的时间间隔,用于邻居不可达检测和地址解析。 5)这里为什么会有“source link-layer”地址呢? 答:为了表示路由器发送RA报文的接口的链路层地址。

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航计软实验报告一

北航计软实验报告一

————————————————————————————————作者: ————————————————————————————————日期:

计算机软件基础上机实验报告(一) XXXXXX班XXX 1.实验目的 掌握线性表在顺序分配下的插入与删除运算;掌握线性表的链式存储结构;掌握插入排序的方法;并掌握一种产生随机数的方法。 2.实验内容 1.产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件中。 2.编制一个程序,依次实现以下功能: (1)?定义一个有序(非递减)线性表,其最大容量为1000,初始时为空。 (2)?从由1产生的数据文件中依次取前N个随机整数,陆续插入到此线性表中,并要求在每次插入后保持线性表的有序性。最后将此有序线性表打印输出。 (3)?在由(2)产生的线性表中,依在1中产生的次序逐个将元素删除,直至表空为止。 3. 以N=100及N=400分别运行2的程序,并比较它们的运行时间。 4. 编写一个程序,用插入排序依次将1中产生的1000个随机整数链接成有序链表(不改变原随机数在存储空间中的顺序)。 3.源代码与运行结果 #include<stdio.h> #include<stdlib.h> #include /** 1_1 产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件(1_1.txt)中。 **/ main() { FILE *fo=fopen("1_1.txt","w"); int i,j; srand((unsigned)time(0)); for(i=0;i<=999;i++)fprintf(fo,"%d\n",rand()%1000); fclose(fo); printf("1000个随机数已输出至目录下1_1.txt文件\n");

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

实验3北航研究生计算机网络实验

实验三网络层实验 2. 分析2.6.1步骤6中截获的报文,统计“Protocol”字段填空:有2个ARP报文,有8个ICMP 报文。在所有报文中,ARP报文中ARP协议树的“Opcode”字段有两个取值1,2,两个取值分别表达什么信息? 答:1表示request,即请求报文,2表示reply,即回复报文。 3.根据2.6.1步骤6分析ARP报文结构:选中第一条ARP请求报文和第一条ARP应答报文,将 4.(1)比较ping1-学号中截获的报文信息,少了什么报文?简述ARP Cache的作用。 答:少了ARP报文。主机的ARP Cache存放最近的IP地址与MAC地址的对应关系,一但收到ARP应答,主机将获得的IP地址和MAC地址的对应关系存到ARP Cache中,当发送报文时,首先去ARP Cache中查找相应的项,如果找到相应的项则将报文直接发送。 (2)按照图-4重新进行组网,并确保连线正确。修改计算机的IP地址,并将PC A的默认网关修改为192.168.1.10,PC B的默认网关修改为192.168.2.10。考虑如果不设置默认网关会有什么后果? 答:如果不设置默认网关则无法访问不同网段的主机。

5.根据2. 6.2步骤12分析ARP报文结构:选中第一条ARP请求报文和第一条ARP应答报文,将ARP请求报文和ARP应答报文中的字段信息与上表进行对比。与ARP协议在相同网段内解析的过程相比较,有何异同点? 答:请求报文中,相同网段网络层中的Target IP address为PCB的IP192.168.1.21,而不同网段网络层中的Target IP address为PCA的默认网关的IP 192.168.1.10;应答报文中,相同网段链路层的Source和网络层的Sender MAC address都是PCB的MAC地址00:0c:29:99:cb:04,而不同网段链路层的Source和网络层的Sender MAC address都是PCA默认网关S1 e0/1的MAC地址3c:e5:a6:45:6b:bc,相同网段网络层的Sender IP address为PCB的IP192.168.1.21,而不同网段网络层的Sender IP address为PCA的默认网关的IP 192.168.1.10。 6.根据3.6.1步骤2——在PC A 和PC B上启动Wireshark软件进行报文截获,然后PC A ping PC B,分析截获的ICMP报文:共有8个ICMP报文,分别属于哪些种类?对应的种类和代码字段分别是什么?请分析报文中的哪些字段保证了回送请求报文和回送应答报文的一一对应? 答:这些报文都是询问报文。具体来分,第1,3,5,7属于request类型,对应的字段为Type: 8 (Echo(ping) request);第2,4,6,8属于reply类型,对应的字段为Type: 0 (Echo(ping) reply)。 网络层的Source和Destination字段保证了请求报文和应答报文一一对应。 7.根据3.6.1步骤3——在PC A 和PC B上启动Wireshark软件进行报文截获,运行pingtest程序,设置地址掩码请求报文参数,分析截获报文填写下表: 8.根据3.6.1步骤4——在PC A 和PC B上启动Wireshark软件进行报文截获,运行pingtest程序,设置时间戳请求报文参数,分析截获报文填写下表:

北航数字图象处理实验报告

数字图像处理实验报告 实验二图像变换实验 1.实验目的 学会对图像进行傅立叶等变换,在频谱上对图像进行分析,增进对图像频域上的感性认识,并用图像变换进行压缩。 2.实验内容 对Lena或cameraman图像进行傅立叶、离散余弦、哈达玛变换。在频域,对比他们的变换后系数矩阵的频谱情况,进一步,通过逆变换观察不同变换下的图像重建质量情况。 3. 实验要求 实验采用获取的图像,为灰度图像,该图像每象素由8比特表示。具体要求如下: (1)输入图像采用实验1所获取的图像(Lena、Cameraman); (2)对图像进行傅立叶变换、获得变换后的系数矩阵; (3)将傅立叶变换后系数矩阵的频谱用图像输出,观察频谱; (4)通过设定门限,将系数矩阵中95%的(小值)系数置为0,对图像进行反变换,获得逆变换后图像; (5)观察逆变换后图像质量,并比较原始图像与逆变后的峰值信噪比(PSNR)。 (6)对输入图像进行离散余弦、哈达玛变换,重复步骤1-5; (7)比较三种变换的频谱情况、以及逆变换后图像的质量(PSNR)。 4. 实验结果 1. DFT的源程序及结果 J=imread('10021033.bmp'); P=fft2(J); for i=0:size(P,1)-1 for j=1:size(P,2) G(i*size(P,2)+j)=P(i+1,j); end end Q=sort(G); for i=1:size(Q,2) if (i=size(Q,2)*0.95) t=Q(i); end end G(abs(G)

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

北航verilog实验报告(全)

目录 实验一 (2) 实验二 (8) 实验三 (14) 实验四 (27)

实验一 实验目的:熟悉硬件开发流程,掌握Modelsim设计与仿真环境,学会简单组合逻辑电路、简单时序逻辑电路设计,不要求掌握综合和综合后仿真。 实验内容:必做实验:练习一、简单的组合逻辑设计 练习二、简单分频时序逻辑电路的设计 选做实验:选做一、练习一的练习题 选做二、7段数码管译码电路 练习一、简单的组合逻辑设计 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验代码: 模块源代码: module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule 测试模块源代码: `timescale 1ns/1ns `include "./compare.v" module t; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1; #100 a=1;b=0; #100 a=0;b=0; #100 $stop; end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验波形

练习二、简单分频时序逻辑电路的设计 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验代码: 模块源代码: module halfclk(reset,clkin,clkout); input clkin,reset; output clkout; reg clkout; always@(posedge clkin) begin if(!reset) clkout=0; else clkout=~clkout; end endmodule 测试模块源代码: `timescale 1ns/100ps `define clkcycle 50 module tt; reg clkin,reset; wire clkout; always#`clkcycle clkin=~clkin; initial begin clkin=0; reset=1; #10 reset=0; #110 reset=1; #100000 $stop; end halfclk m0(.reset(reset),.clkin(clkin),.clkout(clkout)); endmodule

计算机网络实验报告范例

实验1 绘制网络拓扑结构图 实验内容: 1 熟悉Visio绘图软件; 2 使用Visio绘图软件绘制网络拓扑结构图; 3 体会Visio中绘图与Word中绘图的不同。 Visio中绘图与Word中绘图的不同: Visio比word中的更清晰,专业,标准。“对系统、资源、流程及其幕后隐藏的数据进行可视化处理、分析和交流,使图表外观更专业。通过 Visio连接形状和模板快速创建图表,提高工作效率,使用图表交流并与多人共享图表。” 业务流程图,项目管理图,灵感激发图,统计、营销图表,因

果图,组织结构图等等都可以画。 实验2 指令ping和tracert的使用实验内容: 1 查看自己计算机设置的TCP/IP网络参数 2 ping指令的选项及其含义; 3 tracert指令的选项及其含义; 4 ping 3个网址(青岛、国内和国外各1个):https://www.sodocs.net/doc/474580717.html, https://www.sodocs.net/doc/474580717.html, https://www.sodocs.net/doc/474580717.html, 5 tracert 3个网址(青岛、国内和国外各1个):https://www.sodocs.net/doc/474580717.html, https://www.sodocs.net/doc/474580717.html,

https://www.sodocs.net/doc/474580717.html, 1.计算机设置的TCP/IP网络参数: 本地连接2: Connection-specific DNS Suffix(具体连接的DNS后缀): IP Adress( IP地址):192.168.1.2 Subnet Mask(子网掩码):255.255.255.0 Default Gateway(默认网关):192.168.1.1 无线网连接: Connection-specific DNS Suffix(具体连接的DNS后缀): IP Adress( IP地址):192.168.1.8 Subnet Mask(子网掩码):255.255.255.0 Default Gateway(默认网关):192.168.1.1 2. ping指令的选项及其含义: Ping是测试网络联接状况以及信息包发送和接收状况非常有用的工具,是网络测试最常用的命令。Ping向目标主机(地址)发送一个回送请求数据包,要求目标主机收到请求后给予答复,从而判断网络的响应时间和本机是否与目标主机(地址)联通。 命令格式:

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

北航惯性导航综合实验一实验报告

实 验一 陀螺仪关键参数测试与分析实验 加速度计关键参数测试与分析实验 二零一三年五月十二日 实验一陀螺仪关键参数测试与分析实验 一、实验目得 通过在速率转台上得测试实验,增强动手能力与对惯性测试设备得感性认识;通过对陀螺仪测试数据得分析,对陀螺漂移等参数得物理意义有清晰得认识,同时为在实际工程中应用陀螺仪与对陀螺仪进行误差建模与补偿奠定基础。 二、实验内容 利用单轴速率转台,进行陀螺仪标度因数测试、零偏测试、零偏重复性测试、零漂测试实验与陀螺仪标度因数与零偏建模、误差补偿实验。 三、实验系统组成 单轴速率转台、MEMS 陀螺仪(或光纤陀螺仪)、稳压电源、数据采集系统与分析系统。

四、实验原理 1.陀螺仪原理 陀螺仪就是角速率传感器,用来测量载体相对惯性空间得角速度,通常输出与角速率对应得电压信号。也有得陀螺输出频率信号(如激光陀螺)与数字信号(把模拟电压数字化)。以电压表示得陀螺输出信号可表示为: (1-1)式中就是与比力有关得陀螺输出误差项,反映了陀螺输出受比力得影响,本实验不考虑此项误差。因此,式(1-1)简化为 (1-2)由(1-2)式得陀螺输出值所对应得角速度测量值: (1-3) 对于数字输出得陀螺仪,传感器内部已经利用标度因数对陀螺仪模拟输出进行了量化,直接输出角速度值,即: (1-4)就是就是陀螺仪得零偏,物理意义就是输入角速度为零时,陀螺仪输出值所对应得角速度。且 (1-5) 精度受陀螺仪标度因数、随机漂移、陀螺输出信号得检测精度与得影响。通常与表现为有规律性,可通过建模与补偿方法消除,表现为随机特性,可通过信号滤波方法抵制。因此,准确标定与就是实现角速度准确测量得基础。 五、陀螺仪测试实验步骤 1)标度因数与零偏测试实验 a、接通电源,预热一定时间; b、陀螺工作稳定后,测量静止情况下陀螺输出并保存数据;

计算机网络实验实验二、交换机组网实验1

实验二:交换机组网实验 一、实验目的: 1.熟悉计算机网络的IP寻址和子网划分 2.生成树路由配置实验 3.配置VLAN实验 二、实验内容: 1.IP寻址和子网划分 1)理解网络上的一些术语,例如网络、IP地址、主机地址、网络地址、广播地址、子网、子网掩码、IP地址的分类等等 2)了解IP地址对网络中的计算机的相互连通的影响 3)解答IP寻址和子网划分问题 2.生成树路由配置实验 1)配置交换机观察生成树路由的情况 2)改变交换机、端口的优先级观察生成树路由的变化情况 3)禁止某些端口或者转发成本对于生成树路由的影响 3.配置VLAN实验 1)在一台交换机上配置VLAN,理解VLAN对物理网络的分割作用 2)在多台交换机上配置VLAN,理解VLAN跨越交换机的工作方式 3)修改主机的IP地址,进一步理解网络中机器互通的条件 三、预备知识: 1.IP寻址和子网划分:

表2-1 IP寻址术语 1)IP地址分组的概念 网络中的计算机往往是分组的,而如果其地址也能相应分组,也就是说某一类地址都能集中在一个地方,则无疑将能更好地进行路由选择(只要发现是这类地址那么路由就一样,这点将在后面的路由器实验中讲到)。这就象我们在信封上写地址时往往先写城市,再写具体地址是一样的道理,这样发信的邮局可以不管具体地址先将信送到适当的城市的邮局,然后再由该城市的邮局去处理具体地址。当然现在往往邮政编码起到了代替城市名称的作用。 IP地址是分配计算机接口的,而不是整个计算机,所以一台计算机(或者更普遍地说一个网络设备,比如路由器)可以拥有多个IP,这样IP地址分组的概念可以理解为: 在同一个组中的IP地址不能被路由器分隔开,路由器分隔开的IP地址必须在不同的组中。而同一台计算机或者网络设备可以拥有多个IP,甚至可以以不同接口连接到不同网络中。正是因为这种连接,才使得许多独立的网络可以互相通信,从而组合成一个最大的网络——Internet。 2)分类网址 RFC 790定义了IP协议,其中包括三种不同类别的网络。详细规定如下: IP地址是32位二进制数。它可以分成两个部分,前面的一部分是网络部分,相当于城市,而后面的一部分是主机部分,相当于具体地址。例如1100000,10101000,00000001,00000001就是一个IP地址(用逗号分隔只是为了清晰),我们方便,我们也常常将IP地址写成如下形式的十进制数:192.168.1.1。大家可以自行验证它正好是将每八位二进制数转换为十进制的结果,其中的.也仅仅是分隔符。 在同一网络中,不同的主机拥有相同的网络部分和不同的主机部分。例如A的IP地址为8.1.0.3,B的IP地址为8.2.0.5,其中网络部分为8,主机部分分别为1.0.3和2.0.5,所以两台机器属于同一个网络。 但如果网络部分是16位,则显然上述两台机器就不属于同一网络了,那么如何确定网络部分和主机部分的大小呢?

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

实验4_北航研究生计算机网络实验

实验四OSPF协议分析 1.查看R2的OSPF的邻接信息,写出其命令和显示的结果: 答:display ip routing-table Destination/Mask Proto Pre Cost NextHop 1.1.1.1./32 OSPF 10 1 168.1.1.1 2.2.2.2/32 Direct 0 0 127.0.0.1 127.0.0.0/8 Direct 0 0 127.0.0.1 127.0.0.1/32 Direct 0 0 127.0.0.1 168.1.1.0/24 Direct 0 0 168.1.1.2 168.1.1.2/32 Direct 0 0 127.0.0.1 2.将R1的router id 更改为3.3.3.3,写出其命令。显示OSPF的概要信息,查看此更改是否生效。如果没有生效,如何使其生效? 答:[R1] undo router id [R1] router id 3.3.3.3 没有生效,需重启ospf进程: reset ospf process 3.6.1 OSPF协议报文格式 3.分析截获的报文,可以看到OSPF的五种协议报文,请写出这五种协议报文的名称。 并选择一条Hello报文,写出整个报文的结构(OSPF首部及Hello报文体)。 答: HELLO报文、DB Description报文、LS Request报文、LS Update报文和LS Acknowledge报文。 OSPF Header Version: 2 Message Type: Hello Packet (1) Packet Length: 48 Source OSPF Router: 1.1.1.1 Area ID: 0.0.0.0 (Backbone) Checksum: 0x4d92 [correct] Auth Type: Null (0) Auth Data (none): 0000000000000000 OSPF Hello Packet Network Mask: 255.255.255.0 Hello Interval [sec]: 10 Options: 0x02 ((E) External Routing) Router Priority: 1 Router Dead Interval [sec]: 40 Designated Router: 168.1.1.1 Backup Designated Router: 0.0.0.0

相关主题