搜档网
当前位置:搜档网 › 32位带锁存移位寄存器

32位带锁存移位寄存器

32位带锁存移位寄存器(verilog)(2008-05-07 22:05:32)转载标签:veriloghdl移位寄存器锁存32it 分类:CPLD & FPGA

最近一个工程里需要用到这么个部件,modelsim仿真了一下通过,集成在工程里也相当好用,主要是带锁存功能,位数可缩展,具有一定的普遍意义



// shifter_latch.v by 66milano
//`timescale 1us / 1us
module shifter_latch(din,clk,reset,en,dout);
input din,clk,reset,en;
output[31:0] dout;
//output[7:0] dout_temp;

reg[31:0] dout_temp;
reg[31:0] dout;
//initial

//dout_temp=8'b0;

always @(posedge clk or posedge reset or posedge en )
begin
if (reset)
dout=32'b0;
//同步清0,高电平有效
else if(en)
// begin
dout=dout_temp; //输出8位信号
// end
else
begin
dout_temp <= dout_temp << 1; //输出信号左移一位
dout_temp[0] <= din; //输入信号补充到输出信号的最低位
end
end
endmodule

相关主题