搜档网
当前位置:搜档网 › EDA技术实验报告完整版

EDA技术实验报告完整版

EDA技术实验报告完整版
EDA技术实验报告完整版

福建农林大学金山学院

信息工程类

实验报告

课程名称:EDA技术

姓名:邱彬彬

系:信息与机电工程系

专业:电子信息工程专业

年级:2010级

学号:100201079

指导教师:蔡剑卿

职称:讲师

2013年05月03日

实验项目列表

福建农林大学金山学院信息工程类实验报告

系:信息与机电工程系专业:电子信息工程年级: 2010级

姓名:邱彬彬学号: 100201079 实验课程: EDA技术

实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日指导教师签字:成绩:

实验一Quartus II 9.0软件的使用

1.实验目的和要求

本实验为验证性实验,其目的是熟悉Quartus II 9.0软件的使用,学会利用Quartus II 9.0软件来完成整个EDA开发的流程。

2.实验原理

利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。

Quartus II是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。

3.主要仪器设备(实验用的软硬件环境)

实验的硬件环境是:

微机一台

GW48 EDA实验开发系统一套

电源线一根

十芯JTAG口线一根

USB下载线一根

USB下载器一个

实验的软件环境是:

Quartus II 9.0软件

4.操作方法与实验步骤

利用Quartus II 9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。

利用Quartus II 9.0软件实现引脚锁定和编译文件下载。

利用Quartus II 9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。

5.实验内容及实验数据记录

安装QUARTUSII软件;

因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。

设计半加器:

在进行半加器模块逻辑设计时,采用由上至下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusIIGraphic Editor进行设计输入的步骤如下。(1)、打开QUARTUSII软件,选择File-new project wizard…新建一个设计实体名为has的项目文件;

(2)、新建文件,在block.bdf窗口下添加元件符号,并连接。如下图:

半加器原理图

(3)、将此文件另存为has.gdf的文件。

(4)、在主菜单中选择Processing→Start Compilation命令,系统对设计进行编译,同时打开Compilation Report Flow Summary窗体,Status视图显示编译进程。如下图。

(5)在主菜单中选择File→New命令,打开New对话框。单击New对话框中的Other Files选项卡标签,再选择Vector Waveform File选项,单击OK按钮,组建一个Waveform1.vwf的波形文件。

(6)在Waveform1.vwf的波形文件左侧单击右键,选择Insert→Insert Node or Bus(或直接双击界面)打开后再选择Node Finder按钮,打开。在出现的对话框中的Filter下拉列表中选择Pins:all项,单击List按钮,在Nodes Found列表中显示项目中的所有引脚节点。将所有节点都添加到Selected Nodes列表中,确定,关闭对话框。

(7)选择主菜单中的Edit→End Time命令,打开对话框,在Time编辑框中输入100,单位us。按住Ctrl键,同时向下滚动鼠标滑轮,使窗口比例合适,拖动选择节点a的一段波形,使其被选中,然后单击左侧工具栏的按钮,使选中的一段波形状态变为1。采取同样的方法,将输入点都进行设置。

(8)选择File→Save命令,接受默认名称has.scf,确定,存盘。

为了对设计进行仿真,创建的波形文件的名称必须与设计文件的名称相同,并且它们要保存在同一个子目录下。

(9)选择Processing→Start Simulation命令。如果没有错误的话,弹出Simulator

was successful。仿真波形如下图:

半加器波形图

(10)选择File→Creat/Update→Creat symbol Files for current files,接受对话框内默认的名称has.bsf,确认后,系统生成元件,并保存在上一步设置的bsa.bsf 文件中。

6.质疑、建议、问题讨论

(1)因为是第一次接触QUARTUSII语言,所以很多的东西都不太了解,加之因为语言都是英文形式的导致有很多的操作看不懂。以致出现了一些不必要的错误。

(2)、实验过程中连图时要注意应该只有代表两根导线相连的情况下才会出现圆点,其他地方出现则说明导线连接出现问题。

(3)、在文件的保存的时候,错误的建立了多个“HSA”文件,扩展名也出现了错误,致使项目出错,不能编译。在请教老师后,老师帮着操作了一次。我基本是明白了这些操作。

(4)、实验有时会出现编译不成功,原因是文件名称和存储路径有问题。创建的波形文件的名称必须与设计文件的名称相同,并且它们要保存在同一个子目录下。

(5)、实验时应该针对不同的文件建立不同的子文件夹,否则容易造成找不到需要添加的文件,这样做也使实验简单,更具有实用性。

福建农林大学金山学院信息工程类实验报告

系:信息与机电工程系专业:电子信息工程年级: 2010级

姓名:邱彬彬学号: 100201079 实验课程: EDA技术

实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日指导教师签字:成绩:

实验二用文本输入法设计2选1多路选择器

1.实验目的和要求

本实验为综合性实验,综合了简单组合电路逻辑、QuartusII的使用方法、多层次电路设计、仿真和硬件测试等内容。其目的是熟悉QuartusII的VHDL文本设计流程全过程。

2.实验原理

2选1多路选择器真值表

s a b y

L L ×L

L H ×H

H ×L L

H ×H H

3.主要仪器设备(实验用的软硬件环境)

实验的硬件环境是:

微机一台

GW48 EDA实验开发系统一套

电源线一根

十芯JTAG口线一根

USB下载线一根

USB下载器一个

实验的软件环境是:

Quartus II 9.0软件

4.操作方法与实验步骤

首先利用QuartusII完成2选1多路选择器的文本编辑输入(mux21a.vhd)

和仿真测试等步骤,然后进行仿真。最后在实验系统上进行硬件测试,实际验证本项实验的功能。

将设计好的2选1多路多路选择器看成是一个元件mux21a,利用元件例化语句描述下图,并将此文件放在同一目录E:\muxfile中。

5.实验内容及实验数据记录

在QuartusⅡ中输入代码。

代码参考程序如下所示:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux21a IS

PORT ( a,b:IN BIT;

s: IN BIT;

y: OUT BIT);

END ENTITY mux21a;

ARCHITECTURE one OF mux21a IS

BEGIN

y<=a WHEN s= ‘0’ELSE b;

END ARCHITECTURE one;

保存文件为mux21a.vhd,然后进行检查,编译,仿真。

然后,在driver里头选择适合的芯片,再根据PORT的命名设置荧脚的输入,如表4所示:

表4.二选一荧脚设置

引脚名称设置端口

a input Pin=45

b input Pin=46

s output Pin=53

y output Pin=24

进行编译仿真,发现无误。

以上的是2选1的选择器编写过程,接下来设计3选1的选择器,过程如下:新建一个Text editor file,并保存扩展名为 .VHD的muxk.vhd文件和mux21a.vhd放在同一个文件夹目录下然后输入代码,参考程序如下:LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY muxk IS

PORT(a1,a2,a3,s0,s1:IN STD_LOGIC;

outy :OUT STD_LOGIC);

END ENTITY muxk;

ARCHITECTURE BHV OF muxk IS

COMPONENT mux21a

PORT(a,b,s:IN STD_LOGIC;

y: OUT STD_LOGIC);

END COMPONENT;

SIGNAL tmp : STD_LOGIC;

BEGIN

U1:mux21a PORT MAP(a=>a2,b=>a3,s=>s0,y=>tmp);

U2:mux21a PORT MAP(a=>a1,b=>tmp,s=>s1,y=>outy);

END ARCHITECTURE BHV;

然后进行保存,编译,仿真,出现如下错误,如图2-2所示:

图2-2.错误提示

经分析,为标点符号出错和关键字出错。

改正后进行Save & Check 结果正确:

然后在Assign 菜单栏下的Driver里选择和试验箱想匹配的芯片,并根据源代码的荧脚设置进行添加输入、输出端口:

表5 三选一多路选择器荧脚设置

引脚名称设置端口

a1 input Pin=45

a2 input Pin=46

a3 input Pin=47

outy output Pin=19

s0 iutput Pin=53

s1 input Pin=54

再进行 Save,Compile & Simulate结果如下所示:

把程序下载到芯片上,进行检验。

6.实验数据处理与分析

以上各图中,左起第一到第五个led灯依次表示a1 a2 a3 s0 s1,分析上图可知,当s1=0时,结果输出a1,当s1=1时输出s0决定是输出a2或是a3

7.质疑、建议、问题讨论

质疑:一个二选一的多路选择器可以由一个使能输入端进行选择输出,那么一个三选一的多路选择器可以由两个使能输入端进行选择输出,这样就可以实现三个输入端的选择输出。

问题讨论:一个三选一多路选择器由两个二选一选择器组成因此会出现选择的时候有多种情况是一样的结果。

总结:通过实验综合了简单组合电路逻辑,QuartusⅡ的使用方法,多层次电路设计、仿真和硬件测试等内容。熟悉l QuartusⅡ的VHDL文本设计流程全过程。

福建农林大学金山学院信息工程类实验报告

系:信息与机电工程系专业:电子信息工程年级: 2010级

姓名:邱彬彬学号: 100201079 实验课程: EDA技术

实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日

指导教师签字:成绩:

实验三用文本输入法设计7段数码显示译码器

1.实验目的和要求

本实验为综合性实验,综合了简单组合电路逻辑,QuartusII的使用方法,多层次电路设计、仿真和硬件测试等内容。其目的是熟悉QuartusII的VHDL文本设计流程全过程。

2.实验原理

7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。7段数码显示译码器的输出信号LED7S的7位分别接共阴7段数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。注意,这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,此时实体说明中的LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)应改为…(7 DOWNTO 0)。

3.主要仪器设备(实验用的软硬件环境)

实验的硬件环境是:

微机一台

GW48 EDA实验开发系统一套

电源线一根

十芯JTAG口线一根

USB下载线一根

USB下载器一个

实验的软件环境是:

Quartus II 9.0软件

4.操作方法与实验步骤

利用QuartusII完成7段数码显示译码器的文本编辑输入(decl7s.vhd)和仿真测试等步骤,最后在实验系统上进行硬件测试,实际验证本项实验的功能。5.实验内容及实验数据记录

1)用VHDL设计7段数码管显示译码电路,并在VHDL描述的测试平台下对译码器进行功能仿真,给出仿真的波形。

2)数码管显示电路设计

利用以上设计的译码器模块,设计一个可以在8个数码管上同时显示字符的电路。快速轮流点亮8个数码管,这样就可以实现同时显示8个字符的效果(尽管实际上同一时间只有一个数码管被点亮)。

要实现以上功能,就必须按照一定时钟节拍,轮流使译码器输出所需要字符的编码;同时控制数码管的公共电极电平,轮流点亮数码管(可以使用上个实验设计的计数器,加实验板上的74ls138来实现)。

3)用QuartusII对2)中的设计进行编译、综合、仿真,给出其所有信号的仿真波形和时序分析数据。

4)通过QuartusII集成环境,将设计下载到实验电路上进行硬件测试。

管脚锁定: *clk: clk1 43 clk1

D(3): PIO30 30 SW1

D(2): PIO24 35 SW2

D(1): PIO25 36 SW3

D(0): PIO26 37 SW4

A(6): PIO6 11 SEG g LED1

A(5): PIO5 10 SEG f

A(4): PIO4 9 SEG e

A(3): PIO3 8 SEG d

A(2): PIO2 7 SEG c

A(1): PIO1 6 SEG b

A(0): PIO0 5 SEG a

*S(2): 80

*S(1): 79

*S(0): 78

6.实验数据处理与分析

实验结果:

VHDL描述:

7段数码管显示译码电路VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity decl7s is

port(a:in std_logic_vector(3 downto 0); led7s:out std_logic_vector(6 downto 0)); end;

architecture one of decl7s is

begin

process(a)

begin

case a is

when"0000"=>led7s<="0111111"; when"0001"=>led7s<="0000110"; when"0010"=>led7s<="1011011"; when"0011"=>led7s<="1001111"; when"0100"=>led7s<="1100110"; when"0101"=>led7s<="1101101"; when"0110"=>led7s<="1111101"; when"0111"=>led7s<="0000111"; when"1000"=>led7s<="1111111"; when"1001"=>led7s<="1101111"; when"1010"=>led7s<="1110111"; when"1011"=>led7s<="1111100"; when"1100"=>led7s<="0111001"; when"1101"=>led7s<="1011110"; when"1110"=>led7s<="1111001";

when"1111"=>led7s<="1110001";

when others=>null;

end case;

end process;

end;

仿真波形:

数码管显示电路VHDL描述:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

use ieee.std_logic_unsigned.all;

entity SCAN_LED is

port(CLK:in std_logic;

S:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

A:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); end SCAN_LED;

architecture ONE of SCAN_LED is SIGNAL C:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN

P1:PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK='1'THEN

IF C<"111"THEN C<=C+1;ELSE C<="000"; END IF;

END IF;

S<=C;

END PROCESS P1;

P2:PROCESS(D)

BEGIN

CASE D IS

WHEN "0000"=> A<="0111111";WHEN "0001"=> A<="0000110";

WHEN "0010"=> A<="1011011";WHEN "0011"=> A<="1001111";

WHEN "0100"=> A<="1100110";WHEN "0101"=> A<="1101101";

WHEN "0110"=> A<="1111101";WHEN "0111"=> A<="0000111";

WHEN "1000"=> A<="1111111";WHEN "1001"=> A<="1101111";

WHEN "1010"=> A<="1110111";WHEN "1011"=> A<="1111100";

WHEN "1100"=> A<="0111001";WHEN "1101"=> A<="1011110";

WHEN "1110"=> A<="1111001";WHEN "1111"=> A<="1110001";

WHEN OTHERS=> NULL;

END CASE;

END PROCESS P2;

END;

仿真波形:

硬件测试结果及分析:

CLK频率不同,轮流点亮8个数码管的速率也不同,当CLK频率足够大时,可实现同时显示8个字符的效果。

ain cout cout ain bin sum

cin

bin sum

cin

f_adder

or2a

f e

d u3

u2

u1b a

c

co so

B

co so

B

h_adder A h_adder

A

3.主要仪器设备(实验用的软硬件环境)

实验的硬件环境是:

微机一台

GW48 EDA 实验开发系统一套 电源线一根 十芯JTAG 口线一根 USB 下载线一根 USB 下载器一个 实验的软件环境是:

Quartus II 9.0软件

4.操作方法与实验步骤

按照以上介绍的方法与流程,完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设置成一个硬件符号入库。

建立一个更高的原理图设计层次,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真、硬件测试。 5.实验内容及实验数据记录 5.1设计一个半加器

用原理图输入的方法输入一个半加器的逻辑图,输入如下的元件

input(ain ,bin )、 output(co,so)、not 、and2、xnor ,然后用连线连接成一个半加器,其中co 为进位位输出引脚。如图1-1所示:

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称:《Java程序设计实验》 班级:信息1202 姓名:方逸梅 学号: 31 指导老师:费玉莲

《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 。 (四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容和要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验目的、实验原理和内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1.下载、安装并设置Java SDK 软件包。 2.熟悉Eclipse 编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application和Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { "Hello java! 欢迎使用!"); } }

大学物理实验报告书(共6篇)

篇一:大学物理实验报告1 图片已关闭显示,点此查看 学生实验报告 学院:软件与通信工程学院课程名称:大学物理实验专业班级:通信工程111班姓名:陈益迪学号:0113489 学生实验报告 图片已关闭显示,点此查看 一、实验综述 1、实验目的及要求 1.了解游标卡尺、螺旋测微器的构造,掌握它们的原理,正确读数和使用方法。 2.学会直接测量、间接测量的不确定度的计算与数据处理。 3.学会物理天平的使用。 4.掌握测定固体密度的方法。 2 、实验仪器、设备或软件 1 50分度游标卡尺准确度=0.02mm 最大误差限△仪=±0.02mm 2 螺旋测微器准确度=0.01mm 最大误差△仪=±0.005mm 修正值=0.018mm 3 物理天平 tw-0.5 t天平感度0.02g 最大称量 500g △仪=±0.02g 估读到 0.01g 二、实验过程(实验步骤、记录、数据、分析) 1、实验内容与步骤 1、用游标卡尺测量圆环体的内外径直径和高各6次; 2、用螺旋测微器测钢线的直径7次; 3、用液体静力称衡法测石蜡的密度; 2、实验数据记录表 (1)测圆环体体积 图片已关闭显示,点此查看 (2)测钢丝直径 仪器名称:螺旋测微器(千分尺)准确度=0.01mm估读到0.001mm 图片已关闭显示,点此查看 图片已关闭显示,点此查看 测石蜡的密度 仪器名称:物理天平tw—0.5天平感量: 0.02 g 最大称量500 g 3、数据处理、分析 (1)、计算圆环体的体积 1直接量外径d的a类不确定度sd ,sd=○ sd=0.0161mm=0.02mm 2直接量外径d的b类不确定度u○ d. ud,= ud=0.0155mm=0.02mm 3直接量外径d的合成不确定度σσ○ σd=0.0223mm=0.2mm 4直接量外径d科学测量结果○ d=(21.19±0.02)mm d = 5直接量内径d的a类不确定度s○

化学实验报告完整版

化学实验报告 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

化学实验报告 化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用。而化学实验是科学探究的重要形式。 用化学实验的方法学习化学,既符合化学的学科特点也符合学生学习化学的认识特点,是化学教学实施素质教育的基本手段。新课程标准提倡学生独立进行或合作开展化学实验研究。通过化学实验能激发学生的学习兴趣,帮助学生通过使用探究形成化学概念、理解化学基础理论、掌握化学知识和技能,培养学生的科学态度和价值观,帮助学生发展思维能力和训练实验技能,从而达到全面提高学生的科学素养的目的。 一、对新课程标准下的中学化学实验的认识 《普通高中化学课程标准》明确了高中化学课程的基本理念:立足于学生适应现代生活和未来发展的需要,着眼于提高21世纪公民的科学素养,构建“知识与技能”、“过程与方法”、“情感态度与价值观”相融合的高中化学课程目标体系。“知识与技能”即过去的“双基”;“过程与方法”是让学生掌握学习的方法,学会学习;“情感态度与价值观”是人文关怀的体现。所以新的课程理念的核心是“让学生在知识探索的过程中,在知识、学法、人文等方面得到发展。”其中第5条特别强调:“通过以化学实验为主的多种探究活动,使学生体验科学研究的过程,激发学习化学的兴趣,强化科学探究的意识,促进学习方式的转变,培养学生的创新精神和实践能力。”[1]高中化学课程由2个必修模

(完整版)初中生物实验报告单.docx

实验报告单 实验时间年月日(星期)班级学生姓名 实验内容练习使用显微镜 说出显微镜的主要结构的名称和用途。 实验目的练习使用显微镜,学会规范操作显微镜。 尝试使用低倍镜观察到清晰的物像。 实验器材显微镜、写有“上”字的玻片、擦镜纸、纱布。 实验报告单实验时间年月日(星期)班级学 实验内容观察人和动物细胞的基本 学会制作人口腔上皮细胞临时装片。 实验目的用显微镜观察动物细胞的形态结构。 初步学会画细胞结构图。 显微镜、载玻片、盖玻片、0.9%生理盐水、碘液、 实验器材 吸水纸、其他动物细胞的永久装片。 实验步骤 1、取镜安放实 2、对光 3、放置玻片验 标本 步 4、观察 骤 实验步骤 5、收放 结 论 实验过程讨论分析 取显微镜时,左手握 显微镜是贵重仪器,双手取镜是为了。 住,右手托 安放显微镜略偏左的目的是: 住。安放显微镜应略 。 偏。 转动转换器,使低倍物镜对准当外界光源暗时,应选用光圈对准通光孔,同时选 孔。用反光镜。 把要观察的玻片放在 尽量使要观察的标本正对通光孔中央,这样物像容易 上,尽量使要观察的标本正对 在中找到。 中央。 转动粗准焦螺旋,使镜筒缓缓 眼睛应从侧面注视的目的是:避免 。 降,直至为 镜筒上升切忌太快,因为只有在 止,眼睛应从侧面注 位置上,物象才清晰。若镜筒上升太快,极易错过 视。 焦距。 时针转动粗准焦螺旋, 要将视野右下方的物像移到视野中央,则推移装片的 使镜简缓缓上升直到看清物像为 方向是。 止。再转动 “上”字装片在显微镜下呈图像。说明显微镜成像 准焦螺旋,使物像更清晰。 是。 实验过程讨论分析 实验后,把显微镜擦拭干净。 转动转换器使两个物镜。镜 筒降至处,反光镜放在 实 验 成 绩 实验步骤实验过程 为什 ①擦干净载玻片和盖玻片。 ②在载玻片中央,滴一滴 碎屑 实浓度一般是。 抹要均 1、制作人口腔 ③用消毒牙签的一端在口腔 侧壁轻刮几下。 验上皮细胞临时装避免 片。 ④把牙签上附有碎屑的一端, 放在载玻片的水滴中涂抹几下。 步⑤盖上盖玻片。 气泡与 ⑥在盖玻片一侧加在 骤 另一侧用吸水纸吸。 2、是微镜观察 人口腔上皮细胞 实验步骤实验过程讨论分 按生物绘图要求,画出人体口腔上皮细胞的结构 结图,并注明各部分结构的名称。实 验 成 论绩 指导教师: _________________实验教 指导教师: _________________实验教师:_______________

大学计算机实验报告范例(完整版)

报告编号:YT-FS-1587-65 大学计算机实验报告范例 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

大学计算机实验报告范例(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件 (夹)的选择、新建、移动、复制、删除、重命名的 操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命

名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等 班/王帅、王鹏 3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称: 《Java程序设计实验》 班级: 信息1202 姓名: 方逸梅 学号: 1212100231 指导老师: 费玉莲 《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 1、6。

(四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容与要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━实验目的、实验原理与内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1. 下载、安装并设置Java SDK 软件包。 2. 熟悉Eclipse编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application与Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { System、out、println("Hello java! 欢迎使用!"); } } } 结果示意图1

实验报告要求和格式完整版

编号:TQC/K633 实验报告要求和格式完整 版 Daily description of the work content, achievements, and shortcomings, and finally put forward reasonable suggestions or new direction of efforts, so that the overall process does not deviate from the direction, continue to move towards the established goal. 【适用信息传递/研究经验/相互监督/自我提升等场景】 编写:________________________ 审核:________________________ 时间:________________________ 部门:________________________

实验报告要求和格式完整版 下载说明:本报告资料适合用于日常描述工作内容,取得的成绩,以及不足,最后提出合理化的建议或者新的努力方向,使整体流程的进度信息实现快速共享,并使整体过程不偏离方向,继续朝既定的目标前行。可直接应用日常文档制作,也可以根据实际需要对其进行修改。 实验报告要求 1. 认真完成实验报告,报告要用中国海洋大学实验报告纸,作图要用坐标纸。 2. 报告中的电路图、光路图、表格必须用直尺画,数据使用钢笔、圆珠笔不得使用铅笔。 3. 应在理解的基础上简单扼要的书写实验原理,不提倡大段抄书。 4. 应结合具体的实验现象和问题进行讨论。 实验报告格式

实验报告总结(完整版)

报告编号:YT-FS-9125-27 实验报告总结(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告总结(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 教育实验报告 对某种教育现象实验后,要对整个实验过程进行全面总结,提出一个客观的、概括的、能反映全过程及其结果的书面材料,即谓教育实验报告。教育实验报告可分为三部分:①前言。②实验过程和结果。③讨论及结论。实验报告的基本结构: (1)题目。应以简练、概括、明确的语句反映出教育的对象、领域、方法和问题,使读者一目了然,判断出有无阅读价值。 (2)单位、作者。应写明研究者的工作单位,或写明某某课题实验者或牵头人、组长、撰稿人,其他人员可写在报告的结尾处。以示对实验报告的负责,并便于读者与之联系。

(3)课题部分。是实验研究工作的出发点和实验报告的核心。课题的表述要具体、清楚,明确表示出作者的研究方向、目的,并说明课题来源、背景、针对性及解决该课题的实际意义的价值。 (4)实验方法。这是实验报告的主要内容之一,目的是使人了解研究结果是在什么条件下和情况中通过什么方法,根据什么事实得来的,从而判定实验研究的科学性和结果的真实性和可靠性,并可依此进行重复验证。关于实验方法主要应交代:①怎样选择被试,被试的条件、数量、取样方式,实验时间及研究结果的适应范围。②实验的组织类型(方法)及采取这种组织类型的依据。即:单组实验、等组实验还是轮组实验;采取这种实验类型的依据包括哪些方面,如考试成绩及评分标准;基础测定及测定内容等。③实验的具体步骤;对实验班进行实验处理的情况。④因果共变关系的验证(要注意原因变量一定要出现在结果变量之前,或两者同时出现,但不能产生于结果变量之后,否则先果后因,实验就不成立了)。这里,

实验报告大全(完整版)

报告编号:YT-FS-8562-62 实验报告大全(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告大全(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 实验要求及说明: 1、基本要求是程序必须实现部分。在完成基本要求的基础上,可对程序功能进行增强和增加。程序功能的增强可以获得额外的成绩。 2、程序的书写应符合规范。应具有适当的缩进、空格和空行,清晰的注释。函数名和变量名应尽量有意义,能够反映用途。(书写不符合要求的程序要扣分) 3、实验报告中,要对每个程序要有详细的功能描述、输入和输出说明,程序代码和程序运行结果。(功能描述不清晰、输入输出说明不准确对报告要扣分) 4、除规定的实验内容之外,每人可以提交一个自己设计的程序,要求同上。(有附加分)

5、合格条件:1)完成三个实验。2)按要求书写实验报告。3)独立完成。 6、上述说明在提交的报告中删除。 实验一:数据分析程序 编写一个程序,从数据文件中读取数据,并计算数据的统计特性,如均值和标准差。在显示器上输出数据的总数、均值和标准差。具体说明如下:数据文件名作为程序参数输入。 2. 数据文件中数据的个数预先未知,应从文件中得到。数据文件的格式可自定义。程序的各功能应由不同的函数完成。 实验二:形状表示程序 基本要求 定义三角形(Triangle)、矩形(Rectangle)和圆形(Circle)三个形状类。编写一个程序,能够根据用户输入生成相应的形状类对象。将形状的信息输出到显示器和文件中。具体说明如下: 1. 三个形状类应包含构造函数和成员函数(函数

有关实验报告的书写格式(完整版)

报告编号:YT-FS-3825-30 有关实验报告的书写格式 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

有关实验报告的书写格式(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、完整实验报告的书写 完整的一份实验报告一般包括以下项目:实验名 称: 实验目的: 实验器材: 实验原理: 实验步骤: 实验数据记录(表格)及处理: 实验结论(结果推导): 实验讨论或分析等。 二、实验报告书写方法 1、实验名称:就是这个实验是做什么的。 2、实验目的:一般都写掌握什么方法啊;了解什

么啊;知道什么啊;会什么啊;……等。 3、实验器材:就是做这个实验需要的所有器材(仪器)。 4、实验原理:就是这个实验是根据什么来做的,一般书上会写,抄一下也就可以啦。 5、实验步骤:就是你做实验的过程,开始操作时,(1)做什么; (2)做什么;(3)做什么;…… 6、实验数据记录(表格)及处理:根据实验中涉及以及实验得到的数据,设计表格,将有关数据填在表格相应的位置;数据处理,就是该计算的,按要求计算后填入表格对应位置。 7、实验结论(结果推导):就是做这个实验要得到的结果。 8、分析于讨论:写你的实验结果是否适合真实值?如果有误差要分析产生误差的原因,还有实验的一些比较关键的步骤的注意事项等。 对于初中生或小学生来说,书写的实验报告也可简单一点,有时也可不要分析于讨论,也可不写实验

java实验报告完整版

java实验报告完整版 验报告(计算机与信息工程学院实验中心)学期: 全文结束》》-xx 课程名称: 《Java程序设计实验》班级: 信息1202 姓名: 方逸梅学号:1212100231 指导老师: 费玉莲《Java程序设计》独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式对照本课程的实验教材,实验一至实验一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验二的内容由学生自行设计完成。 (二)教学安排学时数:30课时学时安排:每次实验3学时,从学期第五周开始,共次上机实验。 (三)实验环境实验环境为JDK 1、6。 (四)具体安排地点:信息大楼实验室。辅导:每个班次一名辅导老师,原则上由任课老师担任。登记:实验完成,由辅导老师登记实验纪录。学生:实验做完,完成实验报告内容,并在学期末上交实验册。老师:批改实验,成绩与平时成绩一起占期末的30%。

二、实验的具体内容和要求见实验报告。浙江工商大学计算机与信息工程学院实验报告(1)日期: 地点: 成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验目的、实验原理和内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1、下载、安装并设置Java SDK 软件包。 2、熟悉Eclipse 编辑软件。 3、掌握运行Java 程序的步骤。 4、分别编写Application和Applet程序,显示字符 串”Hello Java!欢迎使用!”。要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。程序一public class hello {public static void main(String[] args) {for(int i=0;i<=4;i++){System、out、println("Hello java! 欢迎使用!");}}}结果示意图1存在的问题

实验报告要求和格式(完整版)

报告编号:YT-FS-2657-49 实验报告要求和格式(完 整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告要求和格式(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 实验报告要求 1. 认真完成实验报告,报告要用中国海洋大学实验报告纸,作图要用坐标纸。 2. 报告中的电路图、光路图、表格必须用直尺画,数据使用钢笔、圆珠笔不得使用铅笔。 3. 应在理解的基础上简单扼要的书写实验原理,不提倡大段抄书。 4. 应结合具体的实验现象和问题进行讨论。 实验报告格式 1. 学生姓名、学号、实验组号及组内编号; 2. 实验题目; 3. 目的要求; 4. 仪器用具: 仪器名称及主要规格(包括量程、

分度值等)、用具名称; 5. 实验原理:简单但要抓住要点,即要写出原理依据的公式名称、公式表达式、公式中各物理量的名称、公式成立的条件。画出电路图、光路图等; 6. 实验内容:应包括主要实验步骤、测量及调节方法、观察到的现象、变化的规律以及相应的解释等; 7. 数据处理:画出数据表格(写明物理量和单位);按实验要求处理数据。 写出处理过程及误差。 8. 结果讨论:写出结论,对实验中存在的问题、进一步的想法等进行讨论; 9.作业题; 这里填写您企业或者单位的信息 Fill In The Information Of Your Enterprise Or Unit Here

操作系统课程实验报告(完整版)

. . 中南大学 《操作系统》实验报告 姓名:福星 专业班级:软件 1006班 学号: 完成日期: 2011.11.22

进程调度与存管理 一、实验目的 在采用多道程序设计的系统中,往往有若干个进程同时处于就绪状态。当就续进程个数大于处理器数时,就必须依照某种策略来决定哪些进程优先占用处理器。实验模拟实现处理机调度,以加深了解处理机调度的工作,并体会优先级和时间片轮转调度算法的具体实施方法。帮助了解在不同的存储管理方式下,应怎样实现主存空间的分配和回收。 二、实验要求 1、可随机输入若干进程,并按优先权排序; 2、从就绪队首选进程运行:优先权-1/要求运行时间-1 要求运行时间=0时,撤销该进程 3、重新排序,进行下轮调度。 4、可随时增加进程; 5、规定道数,设置后备队列和挂起状态。若存中进程少于规定道数,可自动从后备队 列调度一作业进入。被挂起进程入挂起队列,设置解挂功能用于将指定挂起进程解挂入就绪队列。 6、每次调度后,显示各进程状态。 7、自行假设主存空间大小,预设操作系统所占大小并构造未分分区表; 表目容:起址、长度、状态(未分/空表目) 8、结合以上实验,PCB增加为: {PID,要求运行时间,优先权,状态,所需主存大小,主存起始位置, PCB指针} 9、采用最先适应算法分配主存空间; 10、进程完成后,回收主存,并与相邻空闲分区合并。 11、采用图形界面;

三、实验容 选择一个调度算法,实现处理机调度。 1、设计一个按优先权调度算法实现处理机调度的程序; 2、设计按时间片轮转实现处理机调度的程序。 3、主存储器空间的分配和回收。在可变分区管理方式下,采用最先适应算法实现主存空间的分配和回收。 四、实验原理 该模拟系统采用java语言实现,要实现的功能有新建进程、进程调度、挂起进程、解挂进程、删除进程,道数和时间片大小可以由用户自己调整,有两种调度策略:按优先权调度和按时间片轮转调度。每个进程可能有5种状态:新建(new)、就绪(ready)、运行(running)、阻塞(waiting)、挂起(suspend)。每个状态都有一个队列用来存放处于该状态的进程,不同的调度策略采用不同的队列实现。当创建进程时,如果存中的进程数还没达到规定道数,则将新建进程插入就绪队列,如果存中进程数已经达到规定道数,则插到后备队列,后备队列中的进程的状态为new。CPU每次调度时都从就绪队列中取进程,在进程执行过程中如果下一个操作时IO操作,则将进程插入到waiting队列。在系统运行过程中可以执行进程挂起操作,但执行的挂起操作时系统自动暂停运行,在弹出窗口选择要挂起的进程后,将选中的进程从原来的队列中删除并插入到挂起队列。进行解挂操作时将选中的进程从挂起队列中删除并插入该进程原来所处的队列。 ?按优先级调度: 当选择按优先权调度时,所有队列都采用优先队列,优先队列采用一个有序链表实现,进程的优先权值越大代表优先级越高,优先队列中的进程按优先权从大到小排列,当新进程插入时根据该进程的优先权插入到队列中的合适位置,插入后保持队列按优先权从大到小排列,如果新进程与队列中某个进程优先权值相等,则该新进程插到那个进程后面,以遵循先来先服务的规则。当要从队列中取出进程时总是取队列中第一个进程,因为该进程的优先级最高。 ?按时间片轮转调度: 当选择按时间片轮转调度时,所有队列都采用先进先出队列,先进先出队列采用一个普通单向链表实现,当新进程插入时插入到队列的末尾,当要取进程时取队首进程,这样就实现了先进先出。

实验报告总结(精选8篇)完整版

《实验报告总结》 实验报告总结(一): 一个长学期的电路原理,让我学到了很多东西,从最开始的什么都不懂,到此刻的略懂一二。 在学习知识上面,开始的时候完全是老师讲什么就做什么,感觉速度还是比较快的,跟理论也没什么差距。但是之后就觉得越来越麻烦了。从最开始的误差分析,实验报告写了很多,但是真正掌握的确不多,到最后的回转器,负阻,感觉都是理论没有很好的跟上实践,很多状况下是在实验出现象以后在去想理论。在实验这门课中给我最大的感受就是,必须要先弄清楚原理,在做实验,这样又快又好。 在养成习惯方面,最开始的时候我做实验都是没有什么条理,想到哪里就做到哪里。比如说测量三相电,有很多种状况,有中线,无中线,三角形接线法还是Y形接线法,在这个实验中,如果选取恰当的顺序就能够减少很多接线,做实验就应要有良好的习惯,就应在做实验之前想好这个实验要求什么,有几个步骤,就应怎样安排才最合理,其实这也映射到做事情,不管做什么事情,就应都要想想目的和过程,这样才能高效的完成。电原实验开始的几周上课时间不是很固定,实验报告也累计了很多,第一次感觉有那么多实验报告要写,在交实验报告的前一天很多同学都通宵了的,这说明我们都没有合理的安排好自己的时间,我就应从这件事情中吸取教训,合理安排自己的时间,完成就应完成的学习任务。这学期做的一些实验都需要严谨的态度。在负阻的实验中,我和同组的同学连了两三次才把负阻链接好,又浪费时间,又没有效果,在这个实验中,有很多线,很容易插错,所以要个性仔细。 在最后的综合实验中,我更是受益匪浅。完整的做出了一个红外测量角度的仪器,虽然不是个性准确。我和我组员分工合作,各自完成自己的模块。我负责的是单片机,和数码显示电路。这两块都是比较简单的,但是数码显示个性需要细致,由于我自己是一个粗心的人,所以数码管我检查了很多遍,做了很多无用功。 总结:电路原理实验最后给我留下的是:严谨的学习态度。做什么事情都要认真,争取一次性做好,人生没有太多时间去浪费。 实验报告总结(二): 在分子生物学实验室为期两个月的实习使我受益匪浅,我不仅仅学习到了专业知识,更重要的是收获了经验与体会,这些使我一生受用不尽,记下来与大家共勉: 1.手脚勤快,热心帮忙他人。初来匝道,不管是不是自己的份内之事,都就应用心去完成,也许自己累点,但你会收获很多,无论是知识与经验还是别人的

(完整版)实验报告

《物流系统分析规划与设计》实验报告 组员:冯宏伟 08251147 毕克菲 08251145 冯沁雨 08251148

本次实验是运用taraVRbuilder软件来进行一次物流规划仿真模拟设计,taraVRbuilder是一款运用虚拟现实技术进行三维建模和模拟基于时间的传输、物流和保管、仓储物资的软件工具。他的应用领域包括销售支持、计划、工程规划以及档案整理等。它的特色在于用户可以再不具备特别的编程和三维设计技能的情况下,简便快捷的创造虚拟、三维的动画场景。学会运用此软件,将方便我们对物流系统的规划和设计。 1实验名称:物流中心规划设计及仿真 2实验目的: 1)通过实际操作物流规划仿真软件(Tara VR Builder)使学生掌握采用物流仿真软件对物流系统进行规划和优化的方法; 2)加深学生对物流系统分析、规划与设计相关理论、方法和步骤等知的理解;3)并进一步培养学生从物流实际业务运营管理中发现问题、提炼问题、构造模型、实验测试、分析评价方案等一体化的解决问题的能力; 4)兼顾提升学生总结研究成果,撰写科技论文的能力。 3实验任务: 根据所学到的物流系统知识,以物流配送中心规划设计和配送方案设计为重点内容进行课程设计。具体任务: 在对物流配送中心布局、作业流程、设备了解的基础上,利用软件(Tara VR Builder)来模拟和仿真实现配送中心各个作业子系统的规划和设计,包括:(1)出库作业系统规划与仿真 搭建厂房。货物在配送中心的时候要进行出库作业,叉车将货物从仓库中取出,堆放至仓库外指定地点。 要求出库作业系统具有以下物流源: ●高架货仓,用来充当货源; ●传送带; ●走行路径以及叉式装卸车; ●厂房(使用外部模型); ●货源种类(配置三种不同的货物储备); ●动画运行。 (2)包装加工系统规划与仿真

测试技术实验报告(完整)

测试技术实验报告 班级: 姓名: 学号: 河南科技大学机电工程学院测控教研室 二O一一年五月

实验一 测量电桥静态特性测试报告 同组人: 时间: 一、实验目的 1. 熟悉静态电阻应变仪的工作原理和使用方法 2. 熟悉测量电桥的三种接法,验证公式04n y e e δε= 3. 分析应变片组桥与梁受力变形的关系,加深对等强度梁概念的理解 4. 验证温度对测量的影响并了解消除方法 二、实验设备 静态电阻应变仪、等强度梁、砝码、应变片 三、实验原理 等强度梁受外力变形时,贴在其上的应变片的电阻也随之发生相应的变化。应变片连接在应变仪测量桥的桥臂上,则应变片电阻的变化就转换为测量电桥输出电压的变化,应变仪采用“零位法”进行测量。它采用双桥电路,一个是测量桥,另一个为读数桥。当测量桥有电压输出时,调整读数桥的刻度盘,使仪表指针为零。则此时读数桥读数与桥臂系数之比即为试件的实验应变值。 四、实验数据整理 在等强度梁上逐级加载、卸载,并把三种电桥接法的测量结果填入表1。 表1 三种电桥接法的测量结果处理

注:理论应变2 =E bh ε理,其中10b =;h=6mm ;E=2×1011N/m 2 五、问答题 1、 试分析实验中同一载荷下,半桥接法相对于单臂和全桥接法的仪器输出有什么不同 半桥接法时,仪器输出是单臂接法仪器输出的2倍,是全桥接法仪器输出的1/2,单臂接法时01R U =U 4R ?± ,半桥时01R U =U 2R ?±,全桥时0R U =U R ?±。同时,由上图数据可以看出,每对应一个负荷时,半桥接法时的仪器输出是单臂时的2倍,全桥的1/2。 2、 单臂测量时若试件温度升高,仪器输出(指针)如何变化说明变化的原因。 仪器输出将变大。当试件受力且试件温度升高时,输出电压F T 0R R 1U = +4R R ???? ??? , R 为试件电阻,而本实验输出的是应变片的应变ε,F T 1R R 1=+S R R ε???? ??? ,若试件温度升高时,则没有温度影响 T R R ?,F 2R =SR ε?,显然,温度升高的变化1ε大于温度没有升高时的变化2ε,故试件温度升高时,仪器输出将变大。 3、 某等强度梁受力及布片如图所示,试问该如何组桥能测出力F 若将该梁换成等截面梁, 又该如何布片如何组桥方能测出力F

初三化学实验报告完整版

编号:TQC/K955初三化学实验报告完整版 Daily description of the work content, achievements, and shortcomings, and finally put forward reasonable suggestions or new direction of efforts, so that the overall process does not deviate from the direction, continue to move towards the established goal. 【适用信息传递/研究经验/相互监督/自我提升等场景】 编写:________________________ 审核:________________________ 时间:________________________ 部门:________________________

初三化学实验报告完整版 下载说明:本报告资料适合用于日常描述工作内容,取得的成绩,以及不足,最后提出合理化的建议或者新的努力方向,使整体流程的进度信息实现快速共享,并使整体过程不偏离方向,继续朝既定的目标前行。可直接应用日常文档制作,也可以根据实际需要对其进行修改。 实验步骤 (1)在试管中加入5mL5%的过氧化氢溶液,把带火星的木条伸入试管; (2)加热实验(1)的试管,把带火星的木条伸入试管; (3)在另一支试管中加入5mL5%的过氧化氢溶液,并加入2g二氧化锰,把带火星的木条伸入试管; (4)待实验(3)的试管内液体不再有现象发生时,重新加热3mL5%的过氧化氢溶液,把带火星的木条伸入试管;(该步

小学自然实验报告(完整版)

报告编号:YT-FS-2923-90 小学自然实验报告(完整 版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

小学自然实验报告(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 教学模式是在一定的教学思想或教学理论的指导下建立起来的,较为稳定的教学活动结构框架和活动程序。“结构框架”意在从宏观把握教学活动整体各要素之间的内部关系;“活动程序”意在突出教学模式的有序性和可行性。 自然学科是人类在认识自然的过程中所积累的知识。它与人的认识过程有较高的一致性,最适用于发现式的学习方法。实验是传授自然科学知识和培养与发展学生各种能力的重要手段。我校的教研组推出的四环节实验课教学模式,以其较完美的操作性、开放性、优效性和灵活性形成了自然实验课的基本框架,较好地揭示课堂教学的一般程序、课堂教学诸因素的内在联系和课堂教学的普遍规律。现就模式谈一下我

在教学中的实践与几点体会。 一、教学模式的四个环节在实践中的具体运用 (一)提出问题阶段 提出问题阶段是当研究一个问题时,为了激发学生的求知欲望,引导学生探索并调动他们积极性的阶段。教师可结合要研究的问题,用生动形象的语言恰如其分地提问,让学生在观察和思维中发现问题。 例如,《物体的热胀冷缩》一课,先进行演示实验,在铁架台上放一平底烧瓶,瓶中装满水,用酒精灯加热,水还没烧开,瓶中的水就往外溢。教师接着问大家,你们看了这个现象有什么想法?学生一下子提出许多问题:“为什么水加热后往上溢呢?”“水难道会变多吗?” 教学时,为了激发学生探求知识的欲望,应千方百计创造性地运用各种方法,如:做游戏、讲故事、变魔术、猜谜语、出示挂图、运用幻灯等。引起学生要研究问题的兴趣,提出自己的想法。 (二)作出假设阶段

数据库实验报告(完整版)

数据库实验报告 班级:07111103 学号:1120111857 姓名:曹睿鹏

实验一: [实验内容1 创建和修改数据库] 分别使用SQL Server Management Studio和Transact-SQL语句,按下列要求创建和修改用户数据库。 1.创建一个数据库,要求如下: (1)数据库名"testDB"。 (2)数据库中包含一个数据文件,逻辑文件名为testDB_data,磁盘文件名为 testDB_data.mdf,文件初始容量为5MB,最大容量为15MB,文件容量递增值 为1MB。 (3)事务日志文件,逻辑文件名为TestDB_log, 磁盘文件名为TestDB_log.ldf,文件 初始容量为5MB, 最大容量为10MB,文件容量递增值为1MB。 2.对该数据库做如下修改: (1)添加一个数据文件,逻辑文件名为TestDB2_data,实际文件为TestDB2_data.ndf, 文件初始容量为1MB,最大容量为6MB,文件容量递增值为1MB。 (2)将日志文件的最大容量增加为15MB,递增值改为2MB。 方法一:使用SQL Server Management Studio创建和修改数据库TestDB 方法二:使用Transact-SQL语句创建和修改数据库TestDB 方法一过于简单,暂不做讨论。 下面学习方法二。 首先,在sql sever 2008中单击新建查询。 然后键入下面的代码。 建立新的数据库。 1. 创建一个数据库,要求如下:

2.对该数据库做如下修改:对刚刚的操作进行验证

数据均已更新完毕。 [实验内容2 数据表的创建、修改和查询] 1.熟悉有关数据表的创建和修改等工作,并了解主键、外键以及约束的创建和应用, 熟练掌握使用SQL Server Management Studio和CREATE TABLE、ALTER TABLE 等Transact-SQL语句对数据表的操作方法 字段名数据类型字段长度注释 项目编码char 10 主键 名称varchar 负责人编码char 10 客户int 开始日期datetime 结束日期datetime 字段名数据类型字段长度注释 员工编码char 10 主键 姓名varchar

化学实验报告(完整版)

报告编号:YT-FS-1062-89 化学实验报告(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

化学实验报告(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用。而化学实验是科学探究的重要形式。 用化学实验的方法学习化学,既符合化学的学科特点也符合学生学习化学的认识特点,是化学教学实施素质教育的基本手段。新课程标准提倡学生独立进行或合作开展化学实验研究。通过化学实验能激发学

生的学习兴趣,帮助学生通过使用探究形成化学概念、理解化学基础理论、掌握化学知识和技能,培养学生的科学态度和价值观,帮助学生发展思维能力和训练实验技能,从而达到全面提高学生的科学素养的目的。 一、对新课程标准下的中学化学实验的认识 《普通高中化学课程标准》明确了高中化学课程的基本理念:立足于学生适应现代生活和未来发展的需要,着眼于提高21世纪公民的科学素养,构建“知识与技能”、“过程与方法”、“情感态度与价值观”相融合的高中化学课程目标体系。“知识与技能”即过去的“双基”;“过程与方法”是让学生掌握学习的方法,学会学习;“情感态度与价值观”是人文关怀的体现。所以新的课程理念的核心是“让学生在知识探索的过程中,在知识、学法、人文等方面得到发展。”其中第 5条特别强调:“通过以化学实验为主的多种探究活动,使学生体验科学研究的过程,激发学习化学的兴趣,强化科学探究的意识,促进学习方式的转变,培养学生的创新精神和实践能力。”[1]高中化学课程由2个

相关主题