搜档网
当前位置:搜档网 › (整理)常用存储器总结

(整理)常用存储器总结

(整理)常用存储器总结
(整理)常用存储器总结

目次

1 存储器分类 (2)

1.1 按存储介质分类 (2)

1.2 按存取方式分类 (2)

1.3 按应用可分类 (3)

2 存储器概述 (4)

2.1 主储存器结构 (4)

2.2 主存中存储单元地址的分配 (4)

2.3 主存的技术指标 (5)

3 半导体存储芯片的基本结构 (6)

3.1 随机存取存储器(RAM) (7)

3.3.1 静态RAM(SRAM) (7)

3.3.2 动态RAM(DRAM) (9)

3.2 只读存储器(ROM) (16)

3.2.1 掩膜ROM (16)

3.2.2 PROM (17)

3.2.3 EPROM (17)

3.2.4 EEPROM (18)

3.2.5 闪速存储器(Flash Memory) (18)

3.3 内存卡 (19)

3.4 存储器与CPU的连接 (21)

3.3.1 存储容量的扩展 (21)

3.3.2 存储器与CPU的连接 (23)

1 存储器分类

1.1 按存储介质分类

(1)半导体存储器。存储元件由半导体器件组成的叫半导体存储器。其优点是体积小、功耗低、存取时间短。其缺点是当电源消失时,所存信息也随即丢失,是一种易失性存储器。

半导体存储器又可按其材料的不同,分为双极型(TTL)半导体存储器和MOS半导体存储器两种。前者具有高速的特点,而后者具有高集成度的特点,并且制造简单、成本低廉,功耗小、故MOS半导体存储器被广泛应用。

(2)磁表面存储器。磁表面存储器是在金属或塑料基体的表面上涂一层磁性材料作为记录介质,工作时磁层随载磁体高速运转,用磁头在磁层上进行读写操作,故称为磁表面存储器。

由于用具有矩形磁滞回线特性的材料作磁表面物质,它们按其剩磁状态的不同而区分“0”或“1”,而且剩磁状态不会轻易丢失,故这类存储器具有非易失性的特点。

(3)光盘存储器。光盘存储器是应用激光在记录介质(磁光材料)上进行读写的存储器,具有非易失性的特点。光盘记录密度高、耐用性好、可靠性高和可互换性强等。

1.2 按存取方式分类

按存取方式可把存储器分为随机存储器、只读存储器、顺序存储器和直接存取存储器四类。

(1)随机存储器RAM(Random Access Memory)。RAM是一种可读写存储器,其特点是存储器的任何一个存储单元的内容都可以随机存取,而且存取时间与存储单元的物理位置无关。计算机系统中的主存都采用这种随机存储器。由于存储信息原理的不同, RAM又分为静态RAM (以触发器原理寄存信息)和动态RAM(以电容充放电原理寄存信息)。

DDR RAM(Date-Rate RAM)也称作DDR SDRAM,这种改进型的RAM和SDRAM 是基本一样的,不同之处在于它可以在一个时钟读写两次数据,这样就使得数据传输速度加倍了。这是目前电脑中用得最多的内存,而且它有着成本优势,事实上击败了Intel的另外一种内存标准-Rambus DRAM。在很多高端的显卡上,也配备了高速DDR RAM来提高带宽,这可以大幅度提高3D加速卡的像素渲染能力。

(2)只读存储器ROM(Read only Memory)。只读存储器是能对其存储的内容读出,而不能对其重新写入的存储器。这种存储器一旦存入了原始信息后,在程序执行过程中,只能将内部信息读出,而不能随意重新写入新的信息去改变原始信息。因此,通常用它存放固定不变的程序、常数以及汉字字库,甚至用于操作系统的固化。它与随机存储器可共同作为主存的一部分,统一构成主存的地址域。

只读存储器分为掩膜型只读存储器MROM(Masked ROM)、可编程只读存储器PROM(Programmable ROM)、可擦除可编程只读存储器EPROM(Erasable Programmable ROM)、用电可擦除可编程的只读存储器EEPROM(Electrically Erasable Programmable ROM)。以及近年来出现了的快擦型存储器Flash Memory,它具有EEPROM的特点,而速度比EEPROM 快得多。

1.3 按应用可分类

存储器有三个主要特性:速率、容量和价格/位(简称位价)。一般说来,速度越高,价位就越高;容量越大,价位就越低;而且容量越大,速度必越低。可以用一个形象的存储器分层结构图,来反映上述的问题,如下图所示。

实际上,存储器的层次结构主要体现在缓存—主存、主存—辅存这两个存储层次上,如下图所示。

2 存储器概述

2.1 主储存器结构

主存的实际结构如上图所示,当根据MAR中的地址访问某个存储单元时,需经过地址译码、驱动等电路,才能找到所需访问的单元。读出时,需经过读出放大器,才能将被选中单元的存储字送到MDR。写入时,MDR中的数据也必须经过写入电路才能真正写入到被选中的单元中。

现代计算机的主存都由半导体集成电路构成,图中的驱动器、译码器和读写电路均制作在存储芯片中,而MAR和MDR制作在CPU芯片内。存储芯片和CPU芯片可通过总线连接,如下图所示。

当要从存储器读出某一信息字时,首先由CPU将该字的地址送到MAR,经地址总线送至主存,然后发读命令。主存接到读命令后,得知需将该地址单元的内容读出,便完成读操作,将该单元的内容读至数据总线上,至于该信息由MDR送至什么地方,远已不是主存的任务,而是由CPU决定的。若要向主存存入—个信息字时,首先CPU将该字所在主存单元的地址经MAR 送到地址总线,并将信息字送入MDR,然后向主存发写命令,主存按到写命令后,便将数据线上的信息写入到对应地址线指出的主存单元中。

2.2 主存中存储单元地址的分配

主存各存储单元的空间位置是由单元地址号来表示的,而地址总线是用来指出存储单元

地址号的,根据该地址可读出一个存储字。不同的机器存储字长也不同,为了满足字符处理的需要,常用8位二进制数表示一个字节,因此存储字长都取8的倍数。通常计算机系统既可按字寻址,也可按字节寻址。例如IBM370机其字长为32位,它可按字节寻址,即它的每一个存储字包含4个可独立寻址的字节,其地址分配如下图 (a)所示。字地址是用该字高位字节的地址来表示,故其字地址是4的整数倍,正好用地址码的末两位来区分同一字的4个字节的位置。但对PDP-11机而言,其字地址是2的整数倍,它用低位字节的地址来表示字地址,如下图(b)所示。

如上图(a)所示,对24位地址线的主存而言,按字节寻址的范围是16MB,按字寻址的范围为4MB。如上图(b)所示,对24位地址线而言,按字节寻址的范围仍为16MB,但按字寻址的范围为8MB。

2.3 主存的技术指标

主存的主要技术指标是存储容量和存储速度。

存储容量:是指主存能存放二进制代码的总数,即:

存储容量=存储单元个数×存储字长

它的容量也可用字节总数来表示,即:

存储容量=存储单元个数×存储字长/8

存储速度:存储速度是由存取时间和存取周期来表示的。

存取时间:又叫存储器的访问时间(Memory Access Time),它是指启动一次存储器操作(读或写)到完成该操作所需的全部时间。存取时间分读出时间和写入时间两种。读出时间是从存储器接收到有效地址开始,到产生有效输出所需的全部时间。写入时间是从存储器接收到有效地址开始,到数据写入被选中单元为止所需的全部时间。

存取周期:(Memory Cycle Time)是指存储器进行连续两次独立的存储器操作(如连续两次读操作)所需的最小间隔时间,通常存取周期大于存取时间。

现代MOS型存储器的存取周期可达100ns;双极型TTL存储器的存取周期接近10ns。

与存取周期密切相关的指标叫存储器的带宽,它表示每秒从存储器进出信息的最大数量,单位可用字/秒或字节/秒或位/秒表示。如存取周期为500ns,每个存取周期可访问16位,则它的带宽为32M位/秒。

存储器的带宽决定了以存储器为中心的机器可以获得的信息传输速度,它是改善机器瓶颈的一个关键因素。为了提高存储器的带宽,可以采用以下措施:

1、缩短存取周期;

2、增加存储字长,使每个周期访问更多的二进制位;

3、增加存储体。

3 半导体存储芯片的基本结构

半导体存储芯片采用超大规模集成电路制造工艺制成,其内部结构如下图所示:

译码驱动能把地址总线送来的地址信号翻译成对应存储单元的选择信号,该信号在读写电路的配合下完成对被选中单元的读写操作。

读写电路包括读出放大器和写入电路,用来完成读写操作。

存储芯片通过地址总线、数据总线和控制总线与外部连接。

地址线是单向输入的,其位数与芯片容量有关。

数据线是双向的(有的芯片可用成对出现的数据线分别作输入或输出),其位数与芯片可读出或写入的数据位数有关。

地址线和数据线的位数共同反映存储芯片的容量。如地址线为10根,数据线为4根,则芯片容量为2^10×4B=4KB

控制线主要有读/写控制线与片选线两种。读/写控制线决定芯片进行读/写操作,片选线用来选择存储芯片。由于存储器是由许多芯片组成,需用片选信号来确定哪个芯片被选中。

半导体

随机存取存储器

静态RAM (SRAM )

动态RAM (DRAM ) 非易失RAM (NVRAM ) 掩膜式ROM 一次性可编程ROM (PROM ) 紫外线擦除可编程ROM (EPROM )

电擦除可编程ROM (EEPROM )

只读存储器

3.1 随机存取存储器(RAM)

RAM 又可分为SRAM(Static RAM/静态存储器)和DRAM(Dynamic RAM/动态存储器)。SRAM 是利用双稳态触发器来保存信息的,只要不掉电,信息是不会丢失的。DRAM是利用MOS (金属氧化物半导体)电容存储电荷来储存信息,因此必须通过不停的给电容充电来维持信息,所以DRAM 的成本、集成度、功耗等明显优于SRAM。 SRAM速度非常快,是目前读写最快的存储设备了,但是它也非常昂贵,所以只在要求很苛刻的地方使用,譬如CPU的一级缓冲,二级缓冲。DRAM保留数据的时间很短,速度也比SRAM慢,不过它还是比任何的ROM 都要快,但从价格上来说DRAM相比SRAM要便宜很多,计算机内存就是DRAM的。

而通常人们所说的SDRAM 是DRAM 的一种,它是同步动态存储器,利用一个单一的系统时钟同步所有的地址数据和控制信号。使用SDRAM不但能提高系统表现,还能简化设计、提供高速的数据传输。在嵌入式系统中经常使用。随机存取存储器按其存储信息的原理不同,可分为静态RAM和动态RAM两大类。

3.3.1 静态RAM(SRAM)

静态RAM基本单元电路。存储器中用于寄存“0”和“1”代码的电路叫做存储器的基本单元电路,下图所示一个6个MOS管组成的基本单元电路。

图中T1~T4是一个由MOS管组成的触发器基本电路,T5、T6尤如一个开关,受行地址选择信号控制。由T1~T6共同构成一个六管MOS基本单元电路。T7、T8受列地址选择控制,分别与位线A和A′相连,它们并不包含在基本单元电路内,而是芯片内同一列的各个基本单元电路所共有的。

假设触发器己存有“1”信号,即A点为高电平。当需读出时,只要使行、列地址选择信号均为有效,则使T5、T6,T7、T8均导通,A点高电平通过T6后,再由位线A通过T8作为读出放大器的输入信号,在读选择有效时,将“1”信号读出。

由于静态RAM是触发器存储信息,因此即使信息读出后,它仍保持其原状态,不需要再生。但电源掉电时,原存信息丢失,故它属易失性半导体存储器。

写入时可以不管触发器原状态如何,只要将写入代码送至DIN端,在写选择有效时,经两个写放大器,使两端输出为相反电平。当行、列地址选择有效时,使T5、T6、T7、T8导通,并使A与A′点置成完全相反的电平。这样,就把欲写入的信号写入到该单元电路中。

如欲写入“1”,即DIN=1,经两个写放大器使位线A为高电平,位线A′为低电平,结果使A点为高,A′点为低,即写入了“1”信息。

静态RAM芯片举例

Intel2114芯片的外特性如下图所示。2114的容量为1K×4位。

图中A9~A0为地址输入端;

I/O1~I/O4为数据输入输出端;

为片选信号(低电平有效);

为写允许信号(低电平为写);

Vcc为电源端;

GND为接地端。

静态RAM读写时序。

·读周期时序

2114RAM芯片读周期时序

上图是2114RAM芯片读周期时序,在整个读周期中始终为高电平(故图中省略)。读周期tRC是指对芯片进行两次连续读操作的最小间隔时间。读时间tA表示从地址有效到数据稳定所需的时间。图中tCO是从片选有效到输出稳定的时间。可见只有当地址有效经tA 后,且当片选有效经tCO后,数据才能稳定输出,这两者必须同时具备。根据tA和tCO的值,便可知当地址有效后,经tA—tCO时间必须给出片选有效信号,否则信号不能出现在数据线上。

需注意,从片选失效到输出高阻需一段时间tOTD,故地址失效后,数据线上的有效数据有一段维持时间tOHA,以保证所读数据可靠。

·写周期时序

2114RAM芯片写周期时序

上图是2114RAM芯片写周期时序。写周期tWC是对芯片进行连续两次写操作的最小间隔时间。写周期包括滞后时间tAW、写入时间tW和写恢复时间tWR。在有效数据出现前,RAM

的数据线上存在着前一时刻的数据Dout,故在地址线发生变化后,、均需滞后tAW

再有效,以避免将无效数据写入到RAM的错误。但写允许失效后,地址必须保持一段时间,叫做写恢复时间。此外,RAM数据线上的有效数据(即CPU送至RAM的写入数据DIN)必须在、失效前的tDW时刻出现,并延续一段时间tDH(此刻地址线仍有效,tWR>tDH),以保证数据可靠写入。

小结:

SRAM是Static Random Access Memory的缩写,中文含义为静态随机访问存储器,它是一种类型的半导体存储器。“静态”是指只要不掉电,存储在SRAM中的数据就不会丢失。这一点与动态RAM(DRAM)不同,DRAM需要进行周期性的刷新操作。然后,我们不应将SRAM与只读存储器(ROM)和Flash Memory相混淆,因为SRAM是一种易失性存储器,它只有在电源保持连续供应的情况下才能够保持数据。“随机访问”是指存储器的内容可以以任何顺序访问,而不管前一次访问的是哪一个位置。

SRAM中的每一位均存储在四个晶体管当中,这四个晶体管组成了两个交叉耦合反向器。这个存储单元具有两个稳定状态,通常表示为0和1。另外还需要两个访问晶体管用于控制读或写操作过程中存储单元的访问。因此,一个存储位通常需要六个MOSFET。对称的电路结构使得SRAM的访问速度要快于DRAM。SRAM比DRAM访问速度快的另外一个原因是SRAM可以一次接收所有的地址位,而DRAM则使用行地址和列地址复用的结构。

SRAM不应该与SDRAM相混淆,SDRAM代表的是同步DRAM(Synchronous DRAM),这与SRAM是完全不同的。SRAM也不应该与PSRAM相混淆,PSRAM是一种伪装成SRAM 的DRAM。

从晶体管的类型分,SRAM可以分为双极性与CMOS两种。从功能上分,SRAM可以分为异步SRAM和同步SRAM(SSRAM)。异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。同步SRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关

3.3.2 动态RAM(DRAM)

动态RAM的基本单元电路。常见的动态RAM基本单元电路有三管式和单管式两种,它们

的共同特点都是靠电容存储电荷的原理来寄存信息的。若电容上存有足够多的电荷表示存“1”,电容上无电荷表示存“0”。电容上的电荷一般只能维持1~2ms,因此即使电源不掉电信息也会自动消失。为此,必须在2ms内对其所有存储单元恢复一次原状态,这个过程叫再生或刷新。由于它与静态RAM相比,具有集成度更高、功耗更低等特点,因此目前被各类计算机广泛应用。

上图示意了由Tl、T2,T3三个MOS管组成的三管MOS动态RAM基本单元电路。

读出时,先对预充电管T4置一预充电信号(在存储矩阵中,每一列共用一个T4管),使读数据线达高电平VDD,然后由读选择线打开T2,若Tl的极间电荷Cg存有足够多的电荷(被认为原存“1”),使T1导通,则因T2、Tl导通接地,使读数据线降为零电平,读出“0”信息。若Cg没足够电荷(原存“0”),则T1截止,读数据线为高电平不变,读出“1”信息。可见,由读出线的高低电平可区分其是读“1”,还是读“0”,只是它与原存信息反相。写入时,将写入信号加到写数据线上,然后由写选择线打开T3,这样,Cg便能随输入信息充电(写“1”)或放电(写“0”)。

为了提高集成度,将三管电路进一步简化,去掉Tl,把信息存在电容Cs上,将T2、T3合并成一个管子T,得单管MOS动态RAM基本单元电路,如下图所示。

读出时,字线上的高电平使T导通,若Cs有电荷,经T管在数据线上产生电流,可视为读出“1”。若Cs无电荷,则数据线上无电流,可视为读出“0”。读操作结束时,Cs的电荷已泄放完毕,故是破坏性读出,必须再生。

写入时,字线为高电平使T导通,若数据线上为高电平,经T管对Cs充电使其存“1”;若数据线为低电平,则Cs经T放电,使其无电荷而存“0”

动态RAM芯片举例

①三管动态RAM芯片。三管动态RAM芯片的结构如下图所示。

这是一个1K×1位的存储芯片,图中每一小方块代表由3个MOS管组成的动态RAM基本单元电路。它们排列成32×32的矩阵,每列都有一个刷新放大器(用来形成再生信息)和一个预充电管,芯片有10根地址线,采用重合法选择基本单元电路。

读出时,先置以预充电信号,接着按行地址A9~A5经行译码器给出读选择信号,同时由列地址A4~A0经列译码器给出列选择信号。只有在行、列选择信号共同作用下的基本单元电路,才能将其信息经读数据线送到读写控制电路并从数据线D输出。

写入时,首先将写入信息由数据线D送入读写控制电路,并在列地址的作用下,由列译码器的输出控制输入信息只送到被选中列的写数据线上。然后在受行地址控制的行译码器给出的写选择信号的作用下,信息被写入到行列共同选中的基本单元电路内。

②单管动态RAM芯片。

4116动态RAM(16K×1位)芯片结构

单管动态RAM芯片结构的示意图如上图所示。这是一个16K×1位的存储芯片,按理应有14根地址线,但为了减少芯片封装的引脚数,地址线只有7根。因此,地址信息分两次传送,

先送7位行地址,再送7位列地址。芯片内有时序电路,它受行地址选通、列地址选

通以及写允许信号控制。

)动态RAM时序。由于动态RAM的行、列地址是分别传送的,因此分析其时序时,应特别注意、与地址的关系。即:

·先由将行地址送入行地址缓存器,再由将列地址送入列地址缓存器,因此,滞

后于的时间必须要超过其规定值。

·和正、负电平的宽度应大于规定值,以保证芯片内部正常工作

·行、列地址和的下沿(负跳变)应满足有足够的地址建立时间和地址保持时间,以确定行、列地址均能准确写入芯片。

①读时序:

在读工作方式时(写允许=1),读工作周期是指动态RAM完成一次“读”所需的最短时间tCRD,也是一个周期。为了确保读出数据无误,必须要求写允许=1在列地址送入前(即下沿到来前)建立,而=1的撤除应在失效后(即上升沿后);还要求读出数据应在有效后一段时间且有效后一段时间时出

现,而数据有效的撤除时间,应在失效后一段时间。

②写时序:

在写工作方式时(写允许=0),的一个周期即为写工作周期,如上图所示。

为了确保写入数据准确无误,=0应先于=0,而且数据的有效存在时间应与

及的有效相对应。即写入数据应在有效前的一段时间出现,它的保持时

间应为有效后的一段时间,这是因为数据的写入实际上是由的下沿激发而成的。可见,为了保证正常写入,、有效均要大于数据DIN有效的时间。

动态RAM的刷新

刷新的过程实质上是先将原存信息读出,再由刷新放大器形成原信息并重新写入的再生过程。

动态RAM与静态RAM的比较

目前,动态RAM的应用比静态RAM要广泛得多。其原因是:①在同样大小的芯片中,动态RAM的集成度远高于静态RAM,如动态RAM的基本单元电路为一个MOS管,静态RAM的基本单元电路为6个MOS管;②动态RAM行、列地址按先后顺序输送,减少了芯片引脚,封装尺寸也减少;③动态RAM的功耗仅为静态RAM的1/6;④动态RAM的价格仅为静态RAM的1/4。因此,随着动态RAM容量不断扩大,速度不断提高,它被广泛应用于计算机的主存。

动态RAM也有缺点:①由于使用动态元件(电容),因此它的速度比静态RAM低;②动态RAM需要再生,故需配置再生电路,也需要消耗一部分功率。通常容量不大的高速存储器大多用静态RAM实现。

DDR

DDR是一种继SDRAM后产生的内存技术,DDR,英文原意为“DoubleDataRate”,顾名思义,就是双数据传输模式。之所以称其为“双”,也就意味着有“单”,我们日常所使用的SDRAM 都是“单数据传输模式”,这种内存的特性是在一个内存时钟周期中,在一个方波上升沿时进行一次操作(读或写),而DDR则引用了一种新的设计,其在一个内存时钟周期中,在方波上升沿时进行一次操作,在方波的下降沿时也做一次操作,之所以在一个时钟周期中,DDR 则可以完成SDRAM两个周期才能完成的任务,所以理论上同速率的DDR内存与SDR内存相比,性能要超出一倍,可以简单理解为工作频率为:100MHZ DDR=200MHZ SDR。

DDR2

DDR2(Double Data Rate 2)SDRAM是由JEDEC(电子设备工程联合委员会)进行开发的新生代内存技术标准,它与上一代DDR内存技术标准最大的不同就是,虽然同是采用了在时钟的上升/下降延同时进行数据传输的基本方式,但DDR2内存却拥有两倍于上一代DDR内存预读取能力(即:4bit数据读预取)。换句话说,DDR2内存每个时钟能够以4倍外部总线的速度读/写数据,并且能够以内部控制总线4倍的速度运行。

此外,由于DDR2标准规定所有DDR2内存均采用FBGA封装形式,而不同于目前广泛应用的TSOP/TSOP-II封装形式,FBGA封装可以提供了更为良好的电气性能与散热性,为DDR2内存的稳定工作与未来频率的发展提供了坚实的基础。回想起DDR的发展历程,从第一代应用到个人电脑的DDR200经过DDR266、DDR333到今天的双通道DDR400技术,第一代DDR的发展也走到了技术的极限,已经很难通过常规办法提高内存的工作速度;随着Intel最新处理器技术的发展,前端总线对内存带宽的要求是越来越高,拥有更高更稳定运行频率的DDR2内存将是大势所趋。

DDR2与DDR的区别:

在了解DDR2内存诸多新技术前,先让我们看一组DDR和DDR2技术对比的数据。

1、延迟问题:

从上表可以看出,在同等核心频率下,DDR2的实际工作频率是DDR的两倍。这得益于DDR2内存拥有两倍于标准DDR内存的4BIT预读取能力。换句话说,虽然DDR2和DDR一样,都采用了在时钟的上升延和下降延同时进行数据传输的基本方式,但DDR2拥有两倍于DDR的预读取系统命令数据的能力。也就是说,在同样100MHz的工作频率下,DDR的实际频率为200MHz,而DDR2则可以达到400MHz。

这样也就出现了另一个问题:在同等工作频率的DDR和DDR2内存中,后者的内存延时要慢于前者。举例来说,DDR 200和DDR2-400具有相同的延迟,而后者具有高一倍的带宽。实际上,DDR2-400和DDR 400具有相同的带宽,它们都是3.2GB/s,但是DDR400的核心工作频率是200MHz,而DDR2-400的核心工作频率是100MHz,也就是说DDR2-400的延迟要高于DDR400。

2、封装和发热量:

DDR2内存技术最大的突破点其实不在于用户们所认为的两倍于DDR的传输能力,而是在采用更低发热量、更低功耗的情况下,DDR2可以获得更快的频率提升,突破标准DDR的400MHZ限制。

DDR内存通常采用TSOP芯片封装,当频率更高时,它过长的管脚就会产生很高的阻抗和寄生电容,这会影响它的稳定性和频率提升的难度。这也就是DDR的核心频率很难突破275MHZ的原因。而DDR2内存均采用FBGA封装形式。不同于目前广泛应用的TSOP封装形式,FBGA封装提供了更好的电气性能与散热性,为DDR2内存的稳定工作与未来频率的发展提供了良好的保障。

DDR2内存采用1.8V电压,相对于DDR标准的2.5V,降低了不少,从而提供了明显的更小的功耗与更小的发热量,这一点的变化是意义重大的。

DDR2采用的新技术:

除了以上所说的区别外,DDR2还引入了三项新的技术,它们是OCD、ODT和Post CAS。

OCD(Off-Chip Driver):也就是所谓的离线驱动调整,DDR II通过OCD可以提高信号的完整性。DDR II通过调整上拉(pull-up)/下拉(pull-down)的电阻值使两者电压相等。使用OCD通过减少DQ-DQS的倾斜来提高信号的完整性;通过控制电压来提高信号品质。ODT:ODT是内建核心的终结电阻器。我们知道使用DDR SDRAM的主板上面为了防止数据线终端反射信号需要大量的终结电阻。它大大增加了主板的制造成本。实际上,不同的内存模组对终结电路的要求是不一样的,终结电阻的大小决定了数据线的信号比和反射率,终结电阻小则数据线信号反射低但是信噪比也较低;终结电阻高,则数据线的信噪比高,但是信号反射也会增加。因此主板上的终结电阻并不能非常好的匹配内存模组,还会在一定程度上影响信号品质。DDR2可以根据自已的特点内建合适的终结电阻,这样可以保证最佳的信号波形。使用DDR2不但可以降低主板成本,还得到了最佳的信号品质,这是DDR不能比拟的。

Post CAS:它是为了提高DDR II内存的利用效率而设定的。在Post CAS操作中,CAS信号(读写/命令)能够被插到RAS信号后面的一个时钟周期,CAS命令可以在附加延迟(Additive Latency)后面保持有效。原来的tRCD(RAS到CAS和延迟)被AL(Additive

Latency)所取代,AL可以在0,1,2,3,4中进行设置。由于CAS信号放在了RAS信号后面一个时钟周期,因此ACT和CAS信号永远也不会产生碰撞冲突。

总的来说,DDR2采用了诸多的新技术,改善了DDR的诸多不足,虽然它目前有成本高、延迟慢能诸多不足,但相信随着技术的不断提高和完善,这些问题终将得到解决

DDR3相比起DDR2有更低的工作电压,从DDR2的1.8V降落到1.5V,性能更好更为省电;DDR2的4bit预读升级为8bit预读。DDR3目前最高能够达到2000Mhz的速度,尽管目前最为快速的DDR2内存速度已经提升到800Mhz/1066Mhz的速度,但是DDR3内存模组仍会从1066Mhz起跳

DDR3

DDR3在DDR2基础上采用的新型设计:

1.8bit预取设计,而DDR2为4bit预取,这样DRAM内核的频率只有接口频率的1/8,DDR3-800的核心工作频率只有100MHz。

2.采用点对点的拓朴架构,以减轻地址/命令与控制总线的负担。

3.采用100nm以下的生产工艺,将工作电压从1.8V降至1.5V,增加异步重置(Reset)与ZQ校准功能。

DDR3与DDR2的不同之处

1、逻辑Bank数量

DDR2 SDRAM中有4Bank和8Bank的设计,目的就是为了应对未来大容量芯片的需求。而DDR3很可能将从2Gb容量起步,因此起始的逻辑Bank就是8个,另外还为未来的16个逻辑Bank 做好了准备。

2、封装(Packages)

DDR3由于新增了一些功能,所以在引脚方面会有所增加,8bit芯片采用78球FBGA封装,16bit芯片采用96球FBGA封装,而DDR2则有60/68/84球FBGA封装三种规格。并且DDR3必须是绿色封装,不能含有任何有害物质。

3、突发长度(BL,Burst Length)

由于DDR3的预取为8bit,所以突发传输周期(BL,Burst Length)也固定为8,而对于DDR2和早期的DDR架构的系统,BL=4也是常用的,DDR3为此增加了一个4-bit Burst Chop(突发突变)模式,即由一个BL=4的读取操作加上一个BL=4的写入操作来合成一个BL=8的数据突发传输,届时可通过A12地址线来控制这一突发模式。而且需要指出的是,任何突发中断操作都将在DDR3内存中予以禁止,且不予支持,取而代之的是更灵活的突发传输控制(如4bit顺序突发)。

3.2 只读存储器(ROM)

只读存储器分为掩膜ROM、PROM、EPROM和EEPROM等多种。对于半导体ROM,基本器件为两种:MOS型和TTL型。

ROM也有很多种,PROM是可编程的ROM,PROM和EPROM(可擦除可编程ROM)两者区别是,PROM是一次性的,也就是软件灌入后,就无法修改了,这种是早期的产品,现在已经不可能使用了,而EPROM是通过紫外光的照射擦出原先的程序,是一种通用的存储器。另外一种EEPROM是通过电子擦出,价格很高,写入时间很长,写入很慢。

3.2.1 掩膜ROM

上图为MOS型掩膜ROM,其容量为1K×1位,采用重合法驱动,行、列地址线分别经行、列译码器,各得32根行、列选择线。行选择线与列选择线交叉处既可有耦合元件MOS管,也可没有。列选择线各控制一个列控制管,32个列控制管的输出端共连一个读放大器;当地址为全“0”时;第0行、0列被选中,若其交叉处有耦合元件MOS管,因其导通而使列线输出为低电平,经读放大器反相为高电平,输出“1”。当地址A4~A0为11111,A9~A5

为00000时,即第31行、第0列被选中,但此刻行、列的交叉处无MOS管,故0列线输出为高电平,经读放大器反相为“0”输出。可见,用行、列交叉处是否有耦合元件MOS管,便可区分原存放“1”还是存“0”。当然,此ROM制成后不可能改变原行、列交叉处的是否存在MOS管,所以,用户是无法改变原始状态的。

3.2.2 PROM

PROM是可以实现一次性编程的只读存储器,上图是16K×1位双极型镍铬熔丝式PROM 芯片,其基本单元电路是由双极型电路和熔丝构成的。

在这个电路中,基极由行选择线控制,发射极与列线之间形成一条镍铬合金薄膜制成的熔丝(可用光刻技术实现),集电极接电源V CC。用户在使用前可按需要将信息存入行、列交叉的耦合元件内。若欲存“0”,则置耦合元件—个大电流,将熔丝烧掉。若欲存“1”,则耦合处不置大电流,熔丝不断。当被选中时,熔丝断掉处将读得“0”,熔丝未断处将读得“1”。当然,已断的熔丝是无法再恢复的,故这种ROM往往只能实现一次编程,不得再修改

3.2.3 EPROM

EPROM是一种可擦洗可编程的只读存储器。它可以由用户对其所存信息作任意次的改写。目前用得较多的EPROM是用浮动栅雪崩注入型MOS管构成,又称FAMOS型EPROM,如下图所示。

由图所示的N型沟道浮动栅MOS电路,在漏端D加上正电压(如25V,50ms宽的正脉冲),便会形成一个浮动栅,它阻止源S与漏端D之间的导通,致使此MOS管处于“0”状态。若对D端不加正电压,则形成不了浮动栅,此MOS管便能正常导通,呈“1”状态。由此,用户可按需要对不同位置的MOS管D端加正电压或不加正电压,便制成了用户所需的ROM。一旦用户需重新改变其状态时;可用紫外线照射、驱散浮动栅,再按需要对不同位置的MOS管重新置于正电压,又得出新状态的ROM。故称之为EPROM。

3.2.4 EEPROM

EEPROM(E2PROM):采用加电方法在线进行擦除和编程,也可多次擦写。

3.2.5 闪速存储器(Flash Memory)

闪速存储器(Flash Memory)又叫快擦型存储器,它是在EPROM和EEPROM工艺基础上产生的一种新型的、具有性能价格比更好、可靠性更高的可擦写非易失性存储器。它既有EPROM 的价格便宜、集成度高的优点,又有EEPOM电可擦洗重写的特性。它具有整片擦除的特点,其擦除、重写的速度快。一块1M位的闪速存储芯片的擦除、重写时间小于5μs,比一般标准EEPROM快得多,已具备了RAM的功能。它还具有高速编程的特点。

非易失性、长期反复使用的大容量Flash memory还可替代软盘或硬盘,作为海量存储器。在笔记本及手掌型袖珍电脑中都大量采用Flash Memory做成固态盘替代磁盘,使计算机平均无故障时间大大延长;功耗更低,体积更小消除了机电式磁盘驱动器所造成的数据瓶颈。

Flash也是一种非易失性存储器(掉电不会丢失),它擦写方便,访问速度快,已大大取代了传统的EPROM的地位。由于它具有和ROM一样掉电不会丢失的特性,因此很多人称其为Flash ROM。FLASH存储器又称闪存,它结合了ROM和RAM的长处,不仅具备电子可擦出可编程(EEPROM)的性能,还不会断电丢失数据同时可以快速读取数据(NVRAM的优势),U盘和MP3里用的就是这种存储器。在过去的20年里,嵌入式系统一直使用ROM(EPROM)作为它们的存储设备,然而近年来Flash全面代替了ROM(EPROM)在嵌入式系统中的地位,用作存储bootloader以及操作系统或者程序代码或者直接当硬盘使用(U 盘)。

目前Flash主要有两种NOR Flash和NADN Flash。NOR Flash的读取和我们常见的SDRAM

的读取是一样,用户可以直接运行装载在NOR FLASH里面的代码,这样可以减少SRAM的容量从而节约了成本。NAND Flash没有采取内存的随机读取技术,它的读取是以一次读取一快的形式来进行的,通常是一次读取512个字节,采用这种技术的Flash比较廉价。用户不能直接运行NAND Flash上的代码,因此好多使用NAND Flash的开发板除了使用NAND Flah 以外,还作上了一块小的NOR Flash来运行启动代码。

一般小容量的用NOR Flash,因为其读取速度快,多用来存储操作系统等重要信息,而大容量的用NAND FLASH,最常见的NAND FLASH应用是嵌入式系统采用的DOC(Disk On Chip)和我们通常用的“闪盘”,可以在线擦除。目前市面上的FLASH 主要来自Intel,AMD,Fujitsu和Toshiba,而生产NAND Flash的主要厂家有Samsung和Toshiba。

3.3 内存卡

目前闪存卡、记忆卡有:CF、MMC、SD、MINI-SD、RS-MMC、T-Flash、MS、MS PRO、MS Duo九种。下面我们了解一下这几种卡的规格。

CF卡

即Compact Flash,一种袖珍闪存卡(尺寸43mm*36mm*3.3mm),存储文件的速度比较快、存储容量适中,能耗低,在中、高档数字照相机上应用比较多。CF存储卡的部分结构采用强化玻璃及金属外壳,CF存储卡采用Standard A TA/IDE接口界面,配备有专门的PCM-CIA适配器(转接卡),笔记本电脑的用户可直接在PCMCIA插槽上使用,使数据很容易在数码相机与电脑之间传递。目前最高容量可以达到8G。

MMC卡

即MultiMediaCard。由西门子公司和首推CF的SanDisk于1997年推出。1998年1月十四家公司联合成立了MMC协会(MultiMediaCardAssociation简称MMCA),现在已经有超过84个成员。MMC的发展目标主要是针对数码影像、音乐、手机、PDA、电子书、玩具等产品,尺寸只有32mm x 24mm x 1.4mm,只有1.5克。MMC也是把存贮单元和控制器一同做到了卡上,智能的控制器使得MMC保证兼容性和灵活性。MMC 存贮卡可以分为MMC和SPI两种工作模式,MMC模式是标准的默认模式,具有MMC 的全部特性。而SPI模式则是MMC存贮卡可选的第二种模式,这个模式是MMC协议的一个子集,主要用于只需要小数量的卡(通常是1个)和低数据传输率(和MMC协议相比)的系统,这个模式可以把设计花费减到最小,但性能就不如MMC。MMC被设计作为一种低成本的数据平台和通讯介质,它的接口设计非常简单:只有7针!接口成本低于0.5美元。在接口中,电源供应是3针,而数据操作只用3针的串行总线即可(SPI模式再加上1针用于选择芯片)。

MMC的操作电压为2.7伏到3.6伏,写/读电流只有27mA和23mA,功耗很低。它的读写模式包括流式、多块和单块。最小的数据传送是以块为单位的,缺省的块大小为512bytes。

SD卡

即Secure Digital Card卡,由松下、东芝和SanDisk联合推出,1999年8月才首次发布。于2000年2月1日发起成立了SD协会(Secure Digital Association简称SDA),成员公司已经超过90个,阵容强大,其中包括IBM,Microsoft,Motorola,NEC、Samsung 等。

SD卡数据传送和物理规范由MMC发展而来,大小和MMC差不多,尺寸为32mm x 24mm x 2.1mm。长宽和MMC一样,只是厚了0.7mm,以容纳更大容量的存贮单元。

SD卡与MMC卡保持着向上兼容,也就是说,MMC可以被新的SD设备存取,兼容性则取决于应用软件,但SD卡却不可以被MMC设备存取。(SD卡外型采用了与MMC 厚度一样的导轨式设计,以使SD设备可以适合MMC)

SD接口除了保留MMC的7针外,还在两边加多了2针,作为数据线。采用了NAND 型Flash Memory,基本上和SmartMedia的一样,平均数据传输率能达到2MB/s MINI-SD卡

顾名思义,MINI SD卡比目前主流的SD卡,在外形上更加小巧,重量仅有30克,体积只有21.5x20x1.4mm,比SD卡足足节省了60%的空间,别小看这么小的外形,它可以让数码设备的体积节约40%空间。才能生产出更小的手机、DV等数码产品。在存储容量上,MINI-SD卡也丝毫不差,从的32MB到1GB各种规格一应俱全。随着消费数码产品的功能越来越大,用户对大容量存储卡的需求也日益增长,目前的512M、1GB 等容量已经在逐渐普及,未来还会成倍往上增长。MINI-SD卡支持平均读写演算法( wear leveling algorithms ),自动错误更正(ECC)等多种功能,使得MINI-SD卡在使用寿命上更长,功耗更低。目前市面上的MINI SD卡都支持MINI SD/SD Card(搭配转接卡)标准界面,在原有的SD卡设备上使用MINI SD卡变得非常方便,为MINI SD卡的迅速普及铺平了道路

RS-MMC卡

和MINI SD 卡一样,RS MMC卡也是一款投放市场不久的超小型闪存卡,RS-MMC 卡标准体积为24 × 18 × 1.4 mm,只有标准MMC卡的一半大小,仅比新版的一角硬币大一点点,然而却继承和沿袭了MMC卡所有的优势和性能特征。RS-MMC卡同样支持自动错误改正(ECC)、线上实时更新程序(ISP) 功能和平均读写演算法( wear leveling algorithms )等诸多功能,在功耗、存储速度等方面比主流的SD卡、MMC卡更加优秀。作为目前MMC卡标准的延伸技术,RS MMC解决了困扰手机及消费电子开发者很久的空间问题,使得设计超小外形的电子产品俨然成为了可能。正因如此,RS MMC卡一经推出便受到了诺基亚等手机业界巨头的支持。

T-Flash卡

随着拍照手机和智能手机的普及,手机用的内存也成为了厂商眼中的新一轮的利润增长点,近日存储业界的巨头美国SanDisk公司就发布了专为移动电话开发的小型闪存“SanDisk T-Flash”。T-Flash的体积只有11×15×1mm,其使用了MLC(多层控制单元)技术的NAND型闪存,最初只有32MB、64MB、128MB三种规格。这种闪存的面积约为miniSD卡的一半,体积只有其4分之1左右,这也是目前世界上可更换类闪存的最小产品了。

MS

全称:SONY Memory Stick记忆棒,由索尼公司开发。尺寸为:50mm x 21.5mm x 0.28mm,重4克。采用精致醒目的蓝色外壳(新的MG为白色),并具有写保护开关。和很多Flash Memory存贮卡不同,Memory Stick规范是非公开的,没有什么标准化组织。采用了Sony自己的外型、协议、物理格式和版权保护技术,要使用它的规范就必须和Sony谈判签定许可。目前所知道的是Memory Stick也包括了控制器在内,采用10针接口,数据总线为串行,最高频率可达20MHz,电压为2.7伏到3.6伏,电流平均为45mA。可以看出这个规格和差不多同一时间出现的MMC颇为相似。

Sony强调其带独立针槽的接口易于从插槽中插入或抽出,不轻易损坏;而且绝不会互相接触,大大减低针与针接触而发生的误差,令资料传送更为可靠;比起插针式存贮卡也更容易清洁。

MS PRO

计算机组成原理模拟习题库 (16)

《计算机组成原理》模拟试卷十六 一.填空题(每空1分,共20分) 1.计算机系统是一个由硬件、软件组成的多级层次结构。它通常由 A.______、 B.______、 C.______、汇编语言级、高级语言级组成。每一级上都能进行 D.______。 2.为了运算器的高速性,采用了A.______进位、B.______乘除法、C.______等并行 技术措施。 3.奔腾CPU中,L2级cache的内容是A.______的子集,而B.______的内容又是 C.______的子集。 4.RISC指令系统的最大特点是 A.______、B.______固定、C.______种类少、只有 D.______指令访问存储器。 5.当代流行的标准总线追求与A.______、B.______、C.______无关的开发标准。 6.SCSI是处于A.______和B.______之间的并行I/O接口,可允许连接C.______台不 同类型的高速外围设备。 二. 选择题(每题1分,共20分) 1.邮局把信件进行自动分拣,使用的计算机技术是______。 A. 机器翻译 B. 自然语言理解 C. 机器证明 D. 模式识别 2.下列数中最大数为______。 A. (101001)2 B. (52)8 C. (13)16 D. (101001)BCD 3.某机字长16位,定点表示,尾数15位,数符1位,则定点法原码整数表示的最大 正数为______ A. (215-1)10 B. -(215-1)10 C. (1-2-15)10 D. -(1-2-15)10 4.算术/逻辑运算单元74181ALU可完成______。 A.16种算术运算和16种逻辑运算功能 B.16种算术运算和8种逻辑运算功能 C.8种算术运算和16种逻辑运算功能 D.8种算术运算和8种逻辑运算功能 5.某计算机字长16位,其存储容量为2MB,若按半字编址,它的寻址范围是______。 A. 8M B. 4M C. 2M D. 1M 6.磁盘存储器的等待时间通常是指______。 A. 磁盘旋转半周所需的时间 B. 磁盘转2/3周所需时间 C. 磁盘转1/3周所需时间 D. 磁盘转一周所需时间 7.下列有关存储器的描述中,不正确的是______。 A.多体交叉存储器主要解决扩充容量问题 B.访问存储器的请求是由CPU发出的 C.cache与主存统一编址,即主存空间的某一部分属于cache D.cache的功能全由硬件实现 8.常用的虚拟存储器系统由______两级存储器组成,其中辅存是大量的磁表面存储

习题--存储系统

第3章存储系统 一.判断题 1.计算机的主存是由RAM和ROM两种半导体存储器组成的。 2.CPU可以直接访问主存,而不能直接访问辅存。 3.外(辅)存比主存的存储容量大、存取速度快。 4.动态RAM和静态RAM都是易失性半导体存储器。 5.Cache的功能全部由硬件实现。 6.引入虚拟存储器的目的是为了加快辅存的存取速度。 7.多体交叉存储器主要是为了解决扩充容量的问题。 8.Cache和虚拟存储器的存储管理策略都利用了程序的局部性原理。 9.多级存储体系由Cache、主存和辅存构成。 10.在虚拟存储器中,当程序正在执行时,由编译器完成地址映射。 二.选择题 1.主(内)存用来存放。 A.程序 B.数据 C.微程序 D.程序和数据 2.下列存储器中,速度最慢的是。 A.半导体存储器 B.光盘存储器 C.磁带存储器 D.硬盘存储器 3.某一SRAM芯片,容量为16K×1位,则其地址线有。 A.14根 B.16K根 C.16根 D.32根 4.下列部件(设备)中,存取速度最快的是。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 5.在主存和CPU之间增加Cache的目的是。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 6.计算机的存储器采用分级存储体系的目的是。 A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格与存取速度间的矛盾 7.相联存储器是按进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定与堆栈存取方式结合 8.某SRAM芯片,其容量为1K×8位,加上电源端和接地端后,该芯片的引出线的最少数目应为。 A.23 B.25 C.50 D.20 9.常用的虚拟存储器由两级存储器组成,其中辅存是大容量的磁表面存储器。 A.主存—辅存 B.快存—主存 C.快存—辅存 D.通用寄存器—主存 10.在Cache的地址映射中,若主存中的任意一块均可映射到Cache内的任意一快的位置上,则这种方法称为。 A.全相联映射 B.直接映射 C.组相联映射 D.混合映射 三.填空题

存储器知识点小结知识讲解

CPU工作的实质即为不断从内存中取指令并执行指令的过程。 一、8086CPU构成 CPU的工作:取指令和执行指令 1.CPU内部两大功能部件:总线接口部件BIU和执行部件EU(2部件并行工作提高了CPU的工作效率) 重点:理解2个独立功能部件的分工和协同配合关系。 理解BIU内地址加法器的作用,理解指令队列的作用。 2.掌握CPU内部寄存器的作用 包括:通用寄存器AX,BX,CX,DX,BP,SP,SI,DI 段寄存器CS,DS,SS,ES 指令指针寄存器IP 标志寄存器FLAG 二、存储器的基础知识 1.物理地址 8086的存储器是以字节(即每个单元存放8位二进制数)为单位组织的。8086CPU具有20条地址总线,所以可访问的存储器地址空间容量为220即1M字节(表示为1MB)。每个单元对应一个唯一的20位地址,对于1MB存储器,其地址范围用16进制表示为00000H~0FFFFFH,如图1所示。 地址低端 地址高端 图1 1MB存储器地址表示 物理地址:存储器的每个单元都有一个唯一的20位地址,将其称为物理地址。 2.字节地址与字地址 存储器内两个连续的字节,定义为一个字,一个字中的每个字节,都有一个字节地址,每个字的低字节(低8位)存放在低地址中,高字节(高8位)存放在高地址中。字的地址指低字节的地址。各位的编号方法是最低位为位0,一个字节中,最高位编号为位7;一个字中最高位的编号为位15。 字数据在存储器中存放的格式如图2所示。

地址低端 地址高端 图2 字数据在存储器中的存放 3.单元地址与内容 内容 单元地址 图3 如图3,地址是00100H 的字节单元的内容为27H,表示为(00100H)= 27H。 图3中字数据3427H存放在地址是00100H和00101H的两个字节单元中,其中低字节27H在低地址的字节单元00100H中,高字节34H在高地址的字节单元00101H中,字数据3427H的地址是低地址00100H。地址是00100H的字单元的内容为3427H,表示为(00100H)= 3427H 可见一个地址既可作字节单元的地址,又可作字单元的地址,视使用情况而定。 总结: 字节单元:(00100H)=27H 字单元:(00100H)=3427H 设寄存器DS=0000H, 用MOV指令访问字节单元:MOV AL,[0100H] 用MOV指令访问字单元:MOV AX,[0100H] 三、存储器的分段 1.为什么要分段

存储器 练习题答案

一、选择题 1、存储器和CPU之间增加Cache的目的是( )。 A. 增加内存容量 B. 提高内存的可靠性 C. 解决CPU与内存之间速度问题 D.增加内存容量,同时加快存取速度 2、常用的虚拟存储系统由()两级存储器组成,其中辅存是大容量的磁表面存储器。 A 主存-辅存 B 快存-主存 C 快存-辅存 D 通用寄存器-主存 3、双端口存储器所以能高速进行读/ 写,是因为采用()。A.高速芯片B.两套相互独立的读写电路 C.流水技术D.新型器件 4、在下列几种存储器中,CPU可直接访问的是()。 A. 主存储器 B. 磁盘 C. 磁带 D. 光盘 5、SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为()。 A.64,16 B.16,16 C.64,8 D.16,64。 6、采用虚拟存储器的主要目的是()。 A.扩大主存储器的存储空间,并能进行自动管理和调度B.提高主存储器的存取速度 C.提高外存储器的存取速度 D.扩大外存储器的存储空间

7、双端口存储器在()情况下会发生读/写冲突。 A. 左端口与右端口的地址码不同 B. 左、右端口的地址码相同 C. 左、右端口的数据码相同 D. 左、右端口的数据码不同 8、计算机系统中的存储器系统是指()。 A RAM存储器 B ROM存储器 C 主存储器D主存储器和外存储器 9、某计算机字长32位,其存储容量为4MB,若按半字编址,它的寻址范围是()。 A 0~4MB-1 B 0~2MB-1 C 0~2M-1 D 0~1M-1 10、某一SRAM芯片,采用地址线与数据线分离的方式,其容量为512×8位,除电源和接地端外,该芯片引出线的最小数目应是()。 A 23 B 25 C 50 D 19 11、以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输率最高的是()。 A DRAM B SRAM C FLASH ROM D EPROM 12、计算机的存储器采用分级存储体系的目的是()。A.便于读写数据B.减小机箱的体积

专题:存储器与接口设计

专题:存储器与接口设计 存储器接口类型可分为:异步存储器接口和同步存储器接口2大类型 异步存储器接口类型是最常见的,也是我们最熟知的,MCU一般均采用此类接口。相应的存储器有:SRAM、Flash、NvRAM……等,另外许多以并行方式接口的模拟/数字I/O器件,如A/D、D/A、开入/开出等,也采用异步存储器接口形式实现。 同步存储接口相对比较陌生,一般用于高档的微处理器中,TI DSP中只有C55x 和C6000系列DSP包含同步存储器接口。相应的存储器有:同步静态存储器:SBSRAM和ZBTSRAM,同步动态存储器: SDRAM,同步FIFO等。SDRAM可能是我们最熟知的同步存储器件,它被广泛用作PC机的内存。 C2000、C3x、C54x系列DSP只提供异步存储器接口,所以它们只能与异步存储器直接接口,如果想要与同步存储器接口,则必须外加相应的存储器控制器,从电路的复杂性和成本的考虑,一般不这么做。C55x、C6000系列DSP不仅提供了异步存储器接口,为配合其性能还提供了同步存储器接口。 C55x和C6000系列DSP的异步存储器接口主要用于扩展Flash和模拟/数字I/O,Flash主要用于存放程序,系统上电后将Flash中的程序加载到DSP片内或片外的高速RAM 中,这一过程我们称为BootLoader同步存储器接口主要用于扩展外部高速数据或程序RAM,如SBSRAM、 ZBTSRAM或SDRAM等。 现在的问题是如何设计DSP系统的外部存储器电路,即DSP如何正确地与各种类型的存储器芯片接口。在DSP外部存储器电路设计中经常会遇到下列一些问题:DSP提供的外部存储器接口信号与存储器芯片所需要的接口信号不完全一致某 些DSP支持多种数据宽度的访问,如8/16/32位数据宽度等,存储器电路中如何实现?数据、地址线在PCB布线时,为了走线方便,经常会进行等效交换,哪些存储器可以作等效交换、哪些不行? 下面我们将按存储器类型分别来解答这些问题 异步存储器:Flash 对于flash,读操作与SRAM相同;擦除和写入操作以命令序列形式给出,厂商不同,命令序列可能稍有不同写入命令序列后,Flash自动执行相应操作,直到完成,随后自动转为读状态。在完成相应操作前,读Flash得到操作是否完成的状态信息,而非存储单元数据. 对于flash,因为擦除跟写入操作以命令序列形式给出,可以对进行编程,包括两种方式: 1、在线,load2段程序,把要烧写的程序当作文件写入到Flash中 2、离线,通过JTAG烧写 3.3V、16位宽度的、工业标准Flash有4种,它们的引脚兼容,均为48引脚的TSOP封装在PCB布线时,以最大容量1M×16位Flash布线,则可根据容量需要安装如何一种FlashFlash的数据和地址线不可以等效交换BootLoader考虑Flash应定位于特殊的位置,设计时应参考相应器件的数据手册 1、VC33,Flash应定位在PAGE0的1000H、或PAGE1的400000H、或PAGE3的FFF000H,可支持8/16/32位数据宽度

《计算机组成原理》总结完整版

《计算机组成原理》学科复习总结 ★第一章计算机系统概论 ?本章内容:本章主要讲述计算机系统的组成、计算机系统的分层结构、以及计算机的一些主要指标等 ?需要掌握的内容:计算机软硬件的概念,计算机系统的层次结构、体系结构和计算机组成的概念、冯.诺依曼的主要思想及其特点、计算机的主要指标 ?本章主要考点:概念 1、当前的CPU由那几部分组成组成? 控制器、运算器、寄存器、cache (高速缓冲存储器) 2、一个完整的计算机系统应包括那些部分? 配套的硬件设备和软件系统 3、什么是计算机硬件、计算机软件?各由哪几部分组成?它们之间有何联系? 计算机硬件是指计算机的实体部分,它由看得见摸得着的各种电子元器件,各类光、电、机设备的实物组成。主要包括运算器(ALU)、控制器(CU)、存储器、输入设备和输出设备五大组成部分。软件是计算机程序及其相关文档的总称,主要包括系统软件、应用软件和一些工具软件。软件是对硬件功能的完善与扩充,一部分软件又是以另一部分软件为基础的再扩充。 4、冯·诺依曼计算机的特点 ●计算机由运算器、存储器、控制器、输入设备和输出设备五大部件组成 ●指令和数据以同等地位存于存储器内,可按地址寻访 ●指令和数据用二进制表示 ●指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储 器中的位置 ●指令在存储器内按顺序存放 ●机器以运算器为中心,输入输出设备和存储器间的数据传送通过运算器完成 5、计算机硬件的主要技术指标 ●机器字长:CPU 一次能处理数据的位数,通常与CPU 中的寄存器位数有关 ●存储容量:存储容量= 存储单元个数×存储字长;MAR(存储器地址寄存器)的位数 反映存储单元的个数,MDR(存储器数据寄存器)反映存储字长 主频 吉普森法 ●运算速度MIPS 每秒执行百万条指令 CPI 执行一条指令所需的时钟周期数 FLOPS 每秒浮点运算次数 ◎第二章计算机的发展及应用 ?本章内容:本章主要讲述计算机系统、微型计算机系统的发展过程以及应用。 ?需要掌握的内容:计算机的发展的不同阶段区分的方法、微型计算机发展中的区分、摩尔定律 ?本章主要考点:概念 1、解释摩尔定律

虚拟存储器管理实验报告书

淮海工学院计算机科学系实验报告书 课程名:《操作系统》 题目:虚拟存储器管理 页面置换算法模拟实验 班级: 学号: 姓名:

一、实验目的与要求 1.目的: 请求页式虚存管理是常用的虚拟存储管理方案之一。通过请求页式虚存管理中对页面置换算法的模拟,有助于理解虚拟存储技术的特点,并加深对请求页式虚存管理的页面调度算法的理解。 2.要求: 本实验要求使用C语言编程模拟一个拥有若干个虚页的进程在给定的若干个实页中运行、并在缺页中断发生时分别使用FIFO和LRU算法进行页面置换的情形。其中虚页的个数可以事先给定(例如10个),对这些虚页访问的页地址流(其长度可以事先给定,例如20次虚页访问)可以由程序随机产生,也可以事先保存在文件中。要求程序运行时屏幕能显示出置换过程中的状态信息并输出访问结束时的页面命中率。程序应允许通过为该进程分配不同的实页数,来比较两种置换算法的稳定性。 二、实验说明 1.设计中虚页和实页的表示 本设计利用C语言的结构体来描述虚页和实页的结构。 在虚页结构中,pn代表虚页号,因为共10个虚页,所以pn的取值范围是0—9。pfn代表实页号,当一虚页未装入实页时,此项值为-1;当该虚页已装入某一实页时,此项值为所装入的实页的实页号pfn。time项在FIFO算法中不使用,在LRU中用来存放对该虚页的最近访问时间。 在实页结构中中,pn代表虚页号,表示pn所代表的虚页目前正放在此实页中。pfn代表实页号,取值范围(0—n-1)由动态指派的实页数n所决定。next是一个指向实页结构体的指针,用于多个实页以链表形式组织起来,关于实页链表的组织详见下面第4点。 2.关于缺页次数的统计 为计算命中率,需要统计在20次的虚页访问中命中的次数。为此,程序应设置一个计数器count,来统计虚页命中发生的次数。每当所访问的虚页的pfn项值不为-1,表示此虚页已被装入某实页内, 此虚页被命中,count加1。最终命中率=count/20*100%。 3.LRU算法中“最近最久未用”页面的确定 为了能找到“最近最久未用”的虚页面,程序中可引入一个时间计数器countime,每当要访问 一个虚页面时,countime的值加1,然后将所要访问的虚页的time项值设置为增值后的当前

存储器结构

第四章存储器结构 4.3 存储器容量扩展 微机系统中主存储器通常由若干存储芯片及相应的存储控制组织而成,并通过存储总线(数据总线、地址总线和控制总线)与CPU及其他部件相联系,以实现数据信息、控制信息的传输。由于存储器芯片的容量有限,实际应用中对存储器的字长和位长都会有扩展的要求。 一、存储器字扩展 *字扩展是沿存储字向扩展,而存储字的 位数不变。 *字扩展时,将多个芯片的所有地址输入 端、数据端、读/写控制线分别并联 在一起,而各自的片选信号线则单独 处理。 *4块内存芯片的空间分配为: 第一片,0000H-3FFFH 第二片,4000H-7FFFH 第三片,8000H-BFFFH 第四片,C000H-FFFFH 二、存储器位扩展 *存储器位扩展是沿存储字的位向扩展, 而存储器的字数与芯片的字数相同。 *位扩展时 将多个芯片的所有地址输入端都连接 在一起; 而数据端则是各自独立与数据总线连 接,每片表示一位 *片选信号线则同时选中多块芯片,这些 被选中的芯片组成了一个完整的存储 字。

三、存储器位字扩展 *存储器需要按位向和字向同时扩展,称存储器位字扩展 *对于容量为 M×N 位的存储器,若使用 L×K 位的存储芯片, 那么,这个存储器所需的芯片数量为:(M/L)×(N/K) 块。 P160图4-3-3表示了一个用2114芯片构成的4KB存储器。如下图: *2114芯片是1K×4R 芯片 *用2块2114芯片构成1组(1K×4×2=1K×8) *再有4组构成4K×8(1K×8×4)位的存储器 *共计需用8块2114芯片 这4个组的选择: *使用A0和A11作地址线:经译码后选择4个分组 *使用A0~A9作为组内的寻址信号 *数据总线为D0~D7 ◆存储器容量的扩展方法总结: 字扩展(将多个芯片的所有地址输入端、数据端、读/写控制线分别都连接在一起,选片信号单独处理) 位扩展(数据线独立处理,选片信号选中多块芯片) 字位扩展(分组,每组又有多个芯片),见(PAGE 161)

设计示例1存储器设计

设计示例1:存储器设计 1、 存储器模块定义: 存储器用于存放CPU 运算的程序指令和数据等,采用单端口存储器设计,设计最大为64个存储单元,每个存储单元数据宽度为32bit 。下图为指令存储器的模块框图。 module ExtMem 图1 模块框图 2、 结构框图: 3、 接口说明: 表1: 存储器接口信号说明表 4、 时序说明: ExtMem_CLK ExtMem_WR ExtMem_RD ExtMem_Adr Valid Valid ExtMem_Din ExtMem_CS 图2 存储器接口读时序框图

ExtMem_CLK ExtMem_WR ExtMem_RD ExtMem_Adr Valid Valid ExtMem_Dout ExtMem_CS 图3 存储器接口写时序框图 Valid ExtMem_Dout ExtMem_CLK ExtMem_WR ExtMem_RD ExtMem_Adr Valid Valid ExtMem_Din ExtMem_CS Valid 图4 存储器接口读写时序框图 5、 设计电路源代码 Module Mem ( input CLK, input CSn, input [5:0] Addr, input WRn, input RDn, input [31:0] Din, output [31:0] Dout ); reg [31:0] Memory [0: 63] ; //---存储器写操作 always @( posedge CLK) begin if (~CSn & ~WRn ) Memory[Addr]<= Din; end //---存储器读操作方式1 always @( posedge CLK )

存储器的文献综述

存储器芯片的使用现状及未来发展趋势 文献综述 班级:XXX 姓名:XXX

学号:XXX

一、选题背景 存储器广泛应用于计算机、消费电子、网络存储、物联网、国家安全等重要领域,是一种重要的、基础性的产品。当前,伴随着第五代移动通信、物联网和大数据的快速发展,存储器的需求量迅速增加,存储容量、存取速度、功耗、可靠性和使用寿命等指标要求也越来越高。世界各大企业在这方面出现“百家争鸣、百花齐放”的大好局面,涌现出多种新型存储器,并且工艺水平和性能都在不断提高,给消费者提供了更多的选择空间。 二、相关问题现状研究综述 我们一般会将存储器划分为,易失性存储器和非易失性存储器,这种划分是根据断电后数据是否丢失而决定的。现有技术中,整个存储器芯片行业主要有三种种产品:DRAM NAND FLASIHNOR FLASH DRA是易失性存储器的代表,NAND Flash和NORFLASI 是非易失性存储器的代表。尽管按照不同的分类特点,可形成存众多种类的储存芯片,但从该行业产业结构分析,上述三种存储器毫无疑问是全球重点厂商最为关注的产品领域。 NANtFLAS和DRAI都是硅基互补金属氧化物半导体器件,在摩尔定律和海量数据存储需求的推动下,不断向大容量、高密度、快速、低功耗、长寿命方向发展。但随着特征尺寸不断减小至接近原子级,传统平面型结构遇到无法跨越的性能障碍,存储器的性能和可靠性达到极限,而且新工艺节点开发成本迅速增加,进一步降低预期收益。 因此,存储器向两大方向转型发展:一是继续沿用硅基材料,用垂直堆叠替代特征尺寸微缩,从平面转向立体结构;二是使用新材料和新结构研制新兴传感器技术。前者的挑战是开发出可实现8层到32层甚至64层连续堆叠的材料和生产工艺,并保证每一层存储器性电性能的一致可控。后者的挑战是论证开发配套生产工艺,并保证新材料不会对既有生产线造成污染、产品性能优于现有存储器和可长期可靠使用等。 新材料、结构和物理效应方面研究的不断突破,使得其他新兴存储器技术也因此得到发展。新兴存储器以大容量、低功耗、高速读写、超长保存周期、数据安全等为发展目标,包括利用自发极化现象开发的铁电随机存储器(FRAM、利 用电致相变现象的相变存储器(PCM、利用磁电阻效应开发的磁性随机存储器 (MRA M利用电致电阻转变效应开发的电阻随机存储器(RRAM,以及赛道存储器、铁电晶体管随机存储器(FeTRA)导电桥梁随机存储器(CBRAM内容寻址存储器(CAM 等。 铁电随机存储器(FRAM:它包含由锆钛酸铅制成的铁电薄膜,其中心原子可在外加

第五章虚拟存储器附答案

第五章虚拟存储器 一、单项选择题 1.虚拟存储器的最大容量___。 *A. 为内外存容量之和 B. 由计算机的地址结构决定(((实际容量 C. 是任意的 D. 由作业的地址空间决定 虚拟存储器是利用程序的局部性原理,一个作业在运行之前,没有必要全部装入内存,而只 将当前要运行那部分页面或段装入便可以运行,其他部分放在外部存储器内,需要时再从外 存调入内存中运行,首先它的容量必然受到外存容量的限制,其次寻址空间要受到计算机地 址总线宽度限制。最大容量(逻辑容量)收内外存容量之和决定,实际容量受地址结构决定。2.在虚拟存储系统中,若进程在内存中占 3 块(开始时为空),采用先进先出页面淘汰 算法,当执行访问页号序列为 1﹑ 2﹑ 3﹑ 4﹑ 1﹑2﹑ 5﹑ 1﹑ 2﹑ 3﹑4﹑ 5﹑ 6 时,将 产生___次缺页中断。(开始为空,内存中无页面, 3 块物理块一开始会发生三次缺页。) A.7 B.8 C.9 3. 实现虚拟存储器的目的是___ A. 实现存储保护 B. 实现程序浮动 D. 10 . C. 扩充辅存容 量 D. 扩充主存容量 4.作业在执行中发生了缺页中断, 经操作系统处理后 , 应让其执行___指令 . (书本 158 页,( 2)最后一句话) A. 被中断的前一条 B. 被中断 的 C. 被中断的后一 条 D. 启动时的第一条 5.在请求分页存储管理中,若采用FIFO 页面淘汰算法,则当分配的页面数增加时, 断的次数 ________。( 在最后一题做完后再作答)答案错误选择: D 缺页中 A.减少B. 增 加 C. 无影响 D. 可能增加也可能减少 6.虚拟存储管理系统的基础是程序的________理论 . A. 局部性 B. 全局 性 C. 动态 性 D. 虚拟性 7. 下述 _______页面淘汰算法会产生Belad y 现象 . A. 先进先出* B. 最近最少使 用 C. 最近不经常使 用 D. 最佳 所谓 Belady 现象是指:在分页式虚拟存储器管理中,发生缺页时的置换算法采用 FIFO(先 进先出)算法时,如果对—个进程未分配它所要求的全部页面,有时就会出现分配的页面 数增多但缺页率反而提高的异常现象。 二. 填空题 1.假设某程序的页面访问序列为1. 2. 3. 4. 5. 2. 3. 1. 2. 3. 4. 5. 1. 2. 3. 4 且开始执行时主 存中 没有页面,则在分配给该程序的物理块数是3 且采用 FIFO 方式时缺页次数是 ____13____; 在分配给程序的物理块数是 4 且采用 FIFO 方式时,缺页次数是 ___14______; 在分配给程序

存储器类型综述及DDR接口设计的实现

存储器类型综述及DDR接口设计的实现 存储器类型综述及DDR接口设计的实现 存储器综述 在过去的数年里,电子市场,确切地说是存储器市场,经历了巨大的变化。在 2000 年电子工业低迷时期之前,设计师很少考虑他们下一个设计中元器件的成本,而更关注它们能够达到的最高性能。 今天,竞争的加剧以及利润率的下降迫使系统设计师在降低下一代产品成本的同时,保持、甚至提高系统的性能种转变的结果,有一个工业部门经历了实质性的增长,它就是 DRAM 存储器,尤其是双倍数据速率(DDR) S DRAM 存储DDR存储器最初是一种高性能、低成本的存储器解决方案,主要用于个人计算机和其它成本敏感的消费品市场。于施加在整个电子工业上的经济压力,非消费产品也开始采用DDR存储器了(图 1)。 图 1 来源:IC Insights DDR是一种基于S DRAM 的革命性的存储器技术。DDR S DRAM 的存取速度是S DRAM 的两倍,因为DDR的数据传时钟的所有两个边沿。而S DRAM 仅在时钟的上升沿传送数据。因此,DDR能够传送数据的速度高达2133MB/s。与传统AM 相比,DDR还具有更低的功耗。它的工作电压是直流2.5V,而S DRAM 是直流 3.3V 。 市场分析表明,在当今所有的电子系统中,超过50%采用了DDR存储器,并且预计在接下来的几年中将增长到80不是,并且永远也不会是一种针对所有设计的技术。DDR存储器非常适用于那些高读写比率的设计。而诸如四倍数据器,适用于50%读写比率的应用。图2确定了多种顶尖的存储器技术以及它门各自所属的读/写曲线。

图 2 不同存储器类型的读/写率的比较 如上所述,每个系统有各自独特的存储器要求。在服务器应用的例子中,读写趋于较高的比率,表示需要DDR。理器与支持大数据包的MAC的接口例子中,在处理之前,这些数据包需要进行缓冲和存储,接近1:1的读写比率,表一个合适的存储器结构。 图3展示了一个通用通信线卡印刷电路板的例子。基于系统设计者的要求,这张结构图上指出了在哪里一些通用型可以被采用。在很多系统中采用了相似的决策过程,从而选择合适的存储器结构。 图 3 下面的目录指出了针对不同的系统和功能的合适的存储器结构。这些选择基于系统结构和各自的性能/成本综合要·查找-快速的开关/访问时间 -临界延时,以读取为导向,较小的总线宽度(32/64位)

存储器管理实验报告

操作系统实验报告 存储器管理 学院电信学院 专业计算机科学与技术 班级14级计科一班 实验题目动态分区分配 实验组别第三组 指导老师曹华

一、实验目的 了解动态分区分配方式中使用的数据结构和分配算法,并进一步加深对动态分区存储管理方式及其实现过程的理解。 二、实验内容 用C语言分别实现采用首次适应算法和最佳适应算法的动态分区分配过程alloc()和回收过程free()。其中,空闲分区通过分区链来管理,在进行内存分配时,系统优先使用空闲区低端的空间。 请分别用首次适应算法和最佳适应算法进行内存块的分配和回收,要求每次分配和回收后显示出空闲内存分区链的情况。 三、实验主要仪器设备 软件环境:VC++6编程环境 四、实验原理及设计方案 1.实验原理: 可变分区调度算法有:最先适应分配算法,循环首次适应算法,最佳适应算法,最坏适应算法。 首次适应算法(First-fit):当要分配内存空间时,就查表,在各空闲区中查找满足大小要求的可用块。只要找到第一个足以满足要求的空闲块就停止查找,并把它分配出去; 如果该空闲空间与所需空间大小一样,则从空闲表中取消该项;如果还有剩余,则余下的部分仍留在空闲表中,但应修改区分大小和分区始址。 用户提出内存空间的申请:系统根据申请者的要求,按照一定的分配策略分析内存空间的使用情况,找出能满足请求的空闲区,分给申请者;当程序执行完毕或主动归还内存资源时,系统要收回它所占用的内存空间或它归还的部分内存空间。 最佳适应算法(Best-fit):当要分配内存空间时,就查找空闲表中满足要求的空闲块,并使得剩余块是最小的。然后把它分配出去,若大小恰好合适,则直按分配;若有剩余块,则仍保留该余下的空闲分区,并修改分区大小的起始地址。 内存回收:将释放作业所在内存块的状态改为空闲状态,删除其作业名,设置为空,并判断该空闲块是否与其他空闲块相连,若释放的内存空间与空闲块相连时,则合并为同一个空闲块,同时修改分区大小及起始地址。 每当一个进程被创建时,内存分配程序首先要查找空闲内存分区链,从中寻找一个合适的空闲块进行划分,并修改空闲内存分区链,系统根据回收区的首址,从空闲区链中找到相应的插入点,此时出现如下四种情况: (1)回收区与插入点的前一个空闲区F1相邻接,此时可将回收区直接与F1合并,并修改F1的大小; (2)回收区与插入点的后一个空闲分区F2相邻接,此时可将回收区直接与F2合并,并用回收区的首址作为新空闲区的首址,大小为二者之和; (3)回收区同时与插入点的前后两个空闲分区邻接,此时需将三者合并; (4)回收区不与任何一个空闲区邻接,此时应建一新的表项 2.主要数据结构的说明 定义一个空闲区说明表结构

计算机组成原理期末考试习题及答案

《计算机组成原理》练习题 一、单项选择题 1.CPU响应中断的时间是__C____。 A.中断源提出请求; B.取指周期结束; C.执行周期结束; D.间址周期结束。 2.下列说法中___C___是正确的。 A.加法指令的执行周期一定要访存; B.加法指令的执行周期一定不访存; C.指令的地址码给出存储器地址的加法指令,在执行周期一定访存; D.指令的地址码给出存储器地址的加法指令,在执行周期不一定访存。 3.垂直型微指令的特点是__C____。 A.微指令格式垂直表示; B.控制信号经过编码产生; C.采用微操作码; D.采用微指令码。 4.基址寻址方式中,操作数的有效地址是___A___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.寄存器内容加上形式地址。 5.常用的虚拟存储器寻址系统由___A___两级存储器组成。 A.主存-辅存;B.Cache-主存; C.Cache-辅存;D.主存—硬盘。 6.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作___A___。 A.停止CPU访问主存;B.周期挪用; C.DMA与CPU交替访问;D.DMA。 7.在运算器中不包含____D__。 A.状态寄存器;B.数据总线; C.ALU;D.地址寄存器。 8.计算机操作的最小单位时间是__A____。 A.时钟周期;B.指令周期; C.CPU周期;D.中断周期。 9.用以指定待执行指令所在地址的是__C____。 A.指令寄存器;B.数据计数器; C.程序计数器;D.累加器。 10.下列描述中___B___是正确的。 A.控制器能理解、解释并执行所有的指令及存储结果; B.一台计算机包括输入、输出、控制、存储及算逻运算五个单元; C.所有的数据运算都在CPU的控制器中完成; D.以上答案都正确。 11.总线通信中的同步控制是___B___。 A.只适合于CPU控制的方式; B.由统一时序控制的方式; C.只适合于外围设备控制的方式; D.只适合于主存。

实验五_存储器设计

计算机组成原理 实验五《存储器设计》 实验报告 姓名:吴速碘黄紫微 学号:13052053 13052067 班级:计算机二班 日期2015、5、25

实验五存储器设计 一、实验目的 1、掌握RAM和ROM的Verilog语言描述方法; 2、学习用宏模块的方法定制RAM和ROM。 二、实验任务 1、设计并实现一个128*16 的单端口的RAM; 2、设计并实现一个128*16的ROM; 3、设计并实现一个双端口的128*16的RAM 4、设计并实现一个16*32的FIFO。 5、设计并实现正弦信号发生器,见“正弦信号发生器实验指南”。 三、实验步骤 1 编写Verilog代码(见附页) 2功能仿真 进行分析与综合,排除语法上的错误 建立波形仿真文件,输入激励 生成功能仿真网表 进行功能仿真,观察输出结果 3选择器件 DE2_70开发板的使用者请选择EP2C70F896C6 4绑定管脚 5 下载验证 DE2_70开发板的下载:使用USB-Blaster进行下载 四、实验内容 五、实验思考题 1、分析存储器采用三态输出的原因是什么? 存储器的输出端是连接在数据总线上的。数据总线相当于一条车流频繁的大马路,必须在绿灯条件下,车辆才能进入这条大马路,否则要撞车发生交通事故。同 理,存储器中的数据是不能随意传送到数据总线上的。例如,若数据总线上的数 据是“1”(高电平5V),存储器中的数据是“0”(低电平0V),两种数据若碰到一 起就会发生短路而损坏单片机。因此,存储器输出端口不仅能呈现“l”和“0”两 种状态,还应具有第三种状态“高阻"态。呈“高阻"态时,输出端口相当于断开,对数据总线不起作用,此时数据总线可被其他器件占用。当其他器件呈“高阻”态 时,存储器在片选允许和输出允许的条件下,才能将自己的数据输出到数据总线 上。 2、单端口和双端口的区别是什么? 单端口ram是ram的读写只有一个端口,同时只能读或者只能写。 双端口ram是ram读端口和写端口分开,一个端口能读,另一个端口可以同时写。 3、什么情况下考虑采用双端口存储器?

存储器以及BootLoader的总结

存储器以及BootLoader的总结 在大学的时候很少关心单片机内部存储器的结构及应用,只是大概的知道RAM和ROM的区别,甚至只是知道程序下载到ROM中就能运行了。其他的如何工作程序如何启动的就不了了知了。因此在接触嵌入式的时候就会出现很多盲区。在此本人将工作三个多月以来接触到的一些关于嵌入式新的理解分享给大家,有什么错误的地方在所难免,希望大家给予指正。 本文引用地址:https://www.sodocs.net/doc/6714623124.html,/article/221309.htm RAM和ROM在单片机中的相关应用 ROM和RAM指的都是半导体存储器,ROM是ReadOnlyMemory的缩写,RAM是RandomAccessMemory的缩写。ROM在系统停止供电的时候仍然可以保持数据,而RAM 通常都是在掉电之后就丢失数据,典型的RAM就是计算机的内存。 RAM有两大类,一种称为静态RAM(StaticRAM/SRAM),SRAM速度非常快,是目前读写最快的存储设备了,但是它也非常昂贵,所以只在要求很苛刻的地方使用,譬如CPU 的一级缓冲,二级缓冲。另一种称为动态RAM(DynamicRAM/DRAM),DRAM保留数据的时间很短,速度也比SRAM慢,不过它还是比任何的ROM都要快,但从价格上来说DRAM 相比SRAM要便宜很多,计算机内存就是DRAM的。 DRAM分为很多种,常见的主要有FPRAM/FastPage、EDORAM、SDRAM、DDRRAM、RDRAM、SGRAM以及WRAM等。所谓内存就是用来存放当前正在使用的(即执行中)的数据和程序,我们平常所提到的计算机的内存指的是动态内存(即DRAM),动态内存中所谓的“动态”,指的是当我们将数据写入DRAM后,经过一段时间,数据会丢失,因此需要一个额外设电路进行内存刷新操作。 ROM也有很多种,PROM是可编程的ROM,PROM和EPROM(可擦除可编程ROM)两者区别是,PROM是一次性的,也就是软件灌入后,就无法修改了,这种是早期的产品,现在已经不可能使用了,而EPROM是通过紫外光的照射擦出原先的程序,是一种通用的存储器。另外一种EEPROM是通过电子擦出,价格很高,写入时间很长,写入很慢。 简单来说,最原始的单片机比如at89c51,内部集成了ROM,RAM。ROM用来存储编好的程序、常数、表格等,当单片机掉电ROM中的数据不会丢失,因此单片机掉电再上电还会继续运行;RAM可以存放用户的临时变量、还有单片机内部的特殊寄存器等,单片机掉电后数据会丢失,当在上电后程序执行,重新初始化寄存器和变量的值。 在这里简单说一下MCS-51的程序执行流程,MCS-51单片机的存储器组织结构,采用典型的哈佛结构,即程序存储器和数据存储器完全独立,拥有各自的寻址系统,包括片内数据存储器与片外数据存储器都拥有自己独立的寻址系统。所以,在地址空间上允许重叠。如:程序存储器的地址空间中有0000H这个单元,片内数据存储器也有0000H这个单元,片外数据存储器中还有0000H这个单元。

微型计算机原理作业第三章 习题与思考题

第三章习题与思考题 典型例题解析 例3-1高速缓冲存储器(Cache)的存取速度()。 A.比内存慢,比外存快B.比内存慢,比内部寄存器快 C. 比内存快,比内部寄存器慢 D. 比内存快,比内部寄存器快 例3-2 在存储器连线时,选片控制采用()方式时,不仅存在()问题,而且所分配的地址也是不同的。 A.全译码B.线选法C.地址重迭D.地址浮动 例3-3 某计算机的主存为3KB,则内存地址寄存器需()位就足够了。 A.10 B.11 C.12 D.13 例3-4 在微机中,CPU访问各类存储器的频率由高到低的次序为()。 A.高速缓存、内存、磁盘B.内存、磁盘、高速缓存 C.磁盘、内存、高速缓存D.磁盘、高速缓存、内存 答案:A 分析:内存存放当前运行的程序和数据,访问频率高于磁盘,C和D不合题意;在采用Cache和内存的存储体系结构中,CPU总是先访问Cache,只有未命中时才访问内存,B也不对。所以选A。 例3-5 常用的虚拟存储器寻址系统由()两级存储器组成。 A.主存一外存B.Cache一主存 C.Cache—外存D.Cache——Cache 答案:A 分析:虚拟存储器由存储器管理机制以及一个大容量的外存支持。它是在存储体系层次结构基础上,通过存储器管理部件MMU,在外存和主存之间进行虚拟地址和实地址间的变换的。 例3-6 下面的说法中,正确的是()。 A.EPROM是不能改写的 B.EPROM是可改写的,所以也是一种读写存储器

C.EPROM只能改写一次 D.EPROM是可改写的,但它不能作为读写存储器 答案:D 分析:EPROM是紫外线可擦写可编程ROM,可反复多次改写,所以A和C不正确;EPROM的编程需外加编程电压,不能在线随机改写,因而EPROM不是随机读写存储器,所以B也不正确。 例3-7 一个具有24根地址线的微机系统,装有16KBROM、480KB RAM和100MB的硬盘,说明其内存容量为()。 A.496KB B.16MB C.100.496MB D. 480KB 答案:A 分析:内存由ROM和RAM组成,答案C含硬盘容量不合题意;存储器总容量与实际装机容量是不同概念,此题答案B、D也不合题意。 例3-8 外存储器与内存储器相比,其特点是()。 A.存储容量大,存取速度快,断电不丢失信息 B.存储容量大,存取速度慢,断电不丢失信息 C.存储容量大,断电不丢失信息,信息无须调入内存即可被CPU访问 D.存储容量大,断电会丢失信息,信息须调入内存才能被CPU访问答案:B 分析:外存是指磁盘、磁带等用作后备存储器的存储媒介,断电不丢失信息,其存取速度要比内存慢,且外存信息须调入内存才可被CPU访问,所以A、C、D均不合题意。 例3-9 对于地址总线为32位的微处理器来说,其直接寻址的范围可达()。 A.1MB B.16MB C.64MB D.4GB 答案:D 例3-10某微机有16条地址线,现用SRAM 2114(1K×4)存储器芯片组成存储系 统。 问:(1) 采用线选法译码时,系统的存储器容量最大为多少?此时需要多 少个2114 存储器芯片? (2)若采用全译码译码,系统最大存储量又为多少?需要多少2114芯片?

TMS320C32 DSP的存储器接口设计方案

TMS320C32 DSP 的存储器接口设计方案 TMS320C32 是美国TI 公司生产的一款浮点数字信号处理器(DSP),是TMS320 系列浮点数字信号处理器的新产品,其CPU 是在TMS320C30 和TMS320C31 的基础上进行了简化和改进。在结构上的改进主要包括可变宽度 的存储器接口、更快速的指令周期时间、可设置优先级的双通道DMA 处理器、灵活的引导程序装入方式、可重新定位的中断向量表以及可选的边缘/电平触发 中断方式等。 1 TMS320C3 2 的外部存储器接口的特点 TMS320C32 是一个32 位微处理器,它可以通过24 位地址总线、32 位数据总线和选通信号对外部存储器进行访问。其外部存储器接口结构如下图l 所示。 在图l 中,引脚(引脚,又叫管脚,英文叫Pin。就是从集成电路(芯片)内部电路引出与外围电路的接线,所有的引脚就构成了这块芯片的接口)PRGW 是用来配置外部程序存储器的宽度的。当PRGW 引脚为低电平时程序 存储器宽度为16 位;当PRGW 引脚为高电平时程序存储器宽度为32 位。STRBO 和STRBl 各为一组访问外部存储器的选通信号,各有4 个信号引脚(STRBx_B3/A_1、STRBx_B2/A_2、STRBx_Bl 和STRBx_B0)。从图l 中我们可以看出,选通信号STRB0 和STRBl 能从8/16/32 位存储器中访问 8/16/32 位数据,或从16/32 位存储器中执行32 位程序;IOSTRB 是外设 I/O 的选通信号引脚,它只能从32 位宽度的存储器中访问32 位的数据和程序。 可以通过对STRBx 和IOSTRB 的设置,从8/16/32 位的存储器中访

相关主题