搜档网
当前位置:搜档网 › 交通控制系统电子课程设计

交通控制系统电子课程设计

交通控制系统电子课程设计
交通控制系统电子课程设计

2总体方案设计

方案一:通过单片机编写程序,控制十字路口的交通信号灯。(具体流程图见下图)

方案二:主要器件用计数器74HC192,编码器CD4532,数码管,555定时器,锁

存器74HC373,以及逻辑门器件构建电路图。(具体流程图如下)

方案三:主要器件用计数器74HC192,编码器CD4532,数码管,运算放大器,74HC373,集成单稳态触发器74LS121,555定时器,逻辑门器件构建电路图。(具体流程图如下)

方案比较:

方案一电路图比较简单,实现功能只需要将单片机程序编写完成即可实现交通系统的控制,但是本次设计要求必须用数字电路和模拟电路的知识,所以该方案虽然简单,但是不可取。

方案二主要运用了数字电路的知识,虽然电路结构比单片机编程的电路复杂,但是该电路运用了电子技术的相关知识,构成了中规模的电路,功能的实现满足规定要求。但是有一点不足,没有充分的把数字电路和模拟电路的相关知识联系起来。

方案三电路大体上与方案二没多大区别,只是通过模拟电路所学的知识,用运算放大器产生矩形脉冲波,产生连续脉冲,代替原本的555组成的多协振荡器,此外单稳态触发器选择了555组成的单稳态触发器和集成单稳态触发器74LS121,更多的将已经学了的知识联系起来,使得电路图更加简化,内容更加丰富,与前两种方案相比较,方案三更适合本次设计,因此选择方案三作为设计方案。

3单元模块设计

3.1各单元模块功能介绍及电路设计

1.方波产生电路

振荡周期C R T f 2

电路在迟滞比较器的基础上增加了Rf,C 组成的积分电路,通过R,C 振荡产生脉冲波。 2.计数器与数码管连接

方波发生器产生连续脉冲以后,将产生的信号输入计数器74HC194,

并且驱动数码管显示。

3.编码电路

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

城市交通规划课程设计

成绩 土木工程与力学学院交通运输工程系 课程设计 课程名称:交通规划 专业:交通工程 班级:0902 学号:U5 姓名:姚崇富 指导教师:邹志云 职称:教授 日期:

第一章设计概述 1.1 设计目的 通过课程设计使学生对《城市交通规划》课程的基本概念、基本原理以及模型与方法得到全面的复习与巩固,并且能在系统总结和综合运用本课程专业知识的课程设计教学环节中,掌握和熟悉城市交通规划预测的操作程序和具体方法,从而为毕业设计和将来走上工作岗位从事专业技术工作打下良好的基础。 课程设计是一个重要的教学环节,在指导教师的指导下,训练学生严谨求实、认真负责的工作作风和独立思考、精益求精的工作态度。 1.2设计题目 A市城市交通预测与未来路网规划设计方案。 1.3设计内容 1.3.1 运用城市交通预测理论与模型,进行道路网交通流量预测。包括: (1)道路网编码并简化; (2)未来出行分布预测,采用福莱特法和Transcad软件两种方法计算出行分布; (3)未来交通分配预测。(这里只考虑对高峰小时的小汽车交通量进行分配) 1.3.2 在对现在路网进行加载测试的基础上,根据小汽车高峰小时饱和度调整未来路网。包括: ⑴通行能力的提高; ⑵路段阻抗的降低。 调整路网的具体措施包括提高道路等级、新建道路等。将调整后的路网重新进行OD分布和流量分配,然后根据调整后的路网饱和度大小决定是否要继续优化道路网络。最终得到的路网饱和度应在合理范围内。 1.4 设计成果 1.4.1 说明书 包括设计步骤、计算过程、说明简图、计算表格。 1.4.2 图纸(图幅297×420mm) (1)未来出行分布(期望线图); (2)未来路网流量分配图; (3)未来路网规划设计方案图。 第二章设计资料 2.1 A市基本情况 A市是某省政治、经济、文化中心城市,规划年(1995年)有人口107万,市区面积为

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

交通规划课程设计报告材料

《交通规划原理》 课程设计 报告题目:滨海地区道路网规划 姓名: 院系: 班级: 学号: 指导教师:

2012年6月15日 引言 我国城市化和汽车化正在以前所未有的速度推进,由两者带来的城市土地的超强度开发和无秩序化,以及交通阻塞、环境污染、交通事故和噪声的压力与日俱增,并且在城市道路方面尤其明显。尽管我国已经于1989年12月26日制定了《城市规划法》,但是由于多种原因,导致了上述现象的出现,影响了城市的可持续发展,任其发展下去将危及人民生活全面奔向小康社会的宏伟目标。因而,如何改善城市道路的交通拥堵、阻塞现象,是摆在交通工作者面前的一项重要而艰巨的课题。 “万事始于规划”,说明了人们在日常生活中进行规划的重要性,个人、家庭、单位、城市、地区、国家均不例外,有了切实可行的规划,才能促使人们瞄着确定的目标努力。作为社会经济发展基础的交通基础设施也是如此,做好交通规划是合理调整交通结构、均衡交通需求、适应和拉动土地利用的重要手段,其原理又是支撑交通规划的理论基础。在我国的城市交通发展历程中,越来越显露出没有合理进行交通规划的问题,造成了目前多数大城市“头痛医头,脚痛医脚”的被动局面。因此,迫切需要利用科学的手段与方法进行合理的交通规划。

在如此的大环境之下,作为一个交通工程专业的学生,对交通规划的重要性更是要有足够的认识,认真学习交通规划的基本原理和常用手段,为我国城市的交通规划做出应有的贡献。 所以,郭老师给我们安排了此次交通规划原理的课程设计。本次设计的主要内容是将老师给定的五个小区的交通出行量通过交通规划“四阶段预测法”——交通的发生与吸引预测、交通的分布预测、交通方式的划分预测、交通分配预测——合理地分配到自己设计的小区间路网上,并且对设计的路网进行分析、评价。通过本次课程设计,我们首先明确了交通规划的重要性、明白了规划的难度,端正了对交通规划的态度;其次,我们熟练掌握了“四阶段预测法”的基本流程及每阶段的各种实用方法和手段;再次,我们能较熟练地运用一些辅助设计的软件,如Excel、AutoCAD和Visual Basic计算机编程语言;最后,我们还了解了交通规划的一些相关的规范。 目录 引言 0 第Ⅰ部分课程设计指导书 (1) 1 课程设计的目的和意义 (1) 2 设计任务 (1) 2.1 现状路网的构造 (1) 2.2 人口增长预测 (1)

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

交通系统规划课程设计

交通系统规划课程 设计

经济管理学院 交通运输系统规划 课程设计 题目:某小城市交通运输系统规划设计班级:交通运输 081 班 成员:湛志国刘彦辉贺明光 学号: 指导教师:惠红旗穆莉英 11月7号至 11月13号

交通运输系统规划课程设计指导书 一、设计的目的与任务 交通运输系统规划课程设计是交通运输专业教学计划中实践教学的重要组成部分,是贯彻理论联系实际、培养高素质人才的重要实践环节,其目的和任务是: 1、目的: 经过交通运输系统规划设计工作,培养学生理论联系实际、实事求是的良好作风,并进一步明确本专业学习的宗旨与任务; 2、任务: 经过对现有路网进行分析划出交通影响区以及主要节点,并在未来预测年的经济、社会发展预测基础上,采用四阶段法进行相应的交通规划,使学生了解交通运输系统规划的大致流程、基本技术方法和未来的发展趋势。 二、设计题目及相关要求 1、设计题目: 《某小城市交通系统规划设计》 2、相关要求: (1)、总体目标: 在交通规划区域内相关社会经济分析预测的基础上,完成交通规划设计内容,增强学生完整的交通运输系统规划设计概念及强化规划意识。 (2)、具体设计要求:

经过整理课程设计资料、撰写并打印课程设计报告等,锻炼学生分析问题、解决问题的能力,获得对本此课程设计的全面、系统的认识,同时取得一定的工作技能和专业经验。 (3)、成果要求 ①设计成果完整,计算数据准确,图表规范,字迹工整,步骤清晰。 ②计算书一律采用A4纸用钢笔书写。 三、设计内容 1、现有道路网络、交通影响区及主要节点分析 (1)、了解并分析现有道路网络; (2)、根据相关的经济发展、工业布局以及实际土地利用情况划分交通影响区; (3)、在交通影响区划分的基础上完成主要节点的设定。 2、规划区域的社会发展、道路交通量预测 (1)、分析预测区域的社会发展情况; (2)、完成预测年限内各项经济指标及各小区交通量的预测。3、交通发生、吸引模型的建立与标定 (1)、建立小区交通发生、吸引模型; (2)、完成预测年的交通发生、吸引量计算。 4、交通分布 (1)、建立相应的OD矩阵及距离矩阵; (2)、进行并完成规划区内的交通分布,进而得到规划区内的

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

09交通规划课程设计1

交通规划课程设计Course Exercise in Traffic Planning 专业班级:2009级交通工程 姓名:小脚丫 班级:交工 09-2 学号: 0900502 设计时间:2012\6\4-2012\6\10 指导教师:魏丹

成绩综合评定表

《交通规划》课程设计指导书 一、设计的目的与任务 交通规划课程设计是交通工程专业教学计划中实践教学的重要组成部分,是贯彻理论联系实际、培养高素质人才的重要实践环节,其目的和任务是:目的:为了巩固和进一步掌握在《交通规划》授课中学到的理论知识,通过交通规划设计工作,培养学生理论联系实际、实事求是的良好作风,并进一步明确本专业学习的宗旨与任务; 任务:通过对现有路网进行分析划出交通影响区以及主要节点,并在未来预测年的经济、社会发展预测基础上,采用四阶段法进行相应的交通规划,使学生了解交通规划的大体流程、基本技术方法和未来的发展趋势。 二、设计题目及相关要求 1、相关要求: 总体目标:在交通规划区域内相关社会经济分析预测的基础上,完成交通规划设计内容,增强学生完整的交通规划设计概念及强化规划意识。 具体设计要求: (1)依据分组情况合理分工,各组均独立、按时、按质、按量完成本课程设计。(2)充分理解并掌握相关理论,熟悉行业规范以及设计流程。 (3)完成设计项目后,将设计指导书、任务书、计算书按要求装订成册。 通过整理课程设计资料、撰写并打印课程设计报告等,锻炼学生分析问题、解决问题的能力,获得对本此课程设计的全面、系统的认识,同时取得一定的工作技能和专业经验。 2、注意事项: (1)本课程设计要求学生依据分组情况合理分工,各组均独立自主完成,严禁抄袭、抄袭者以零分计。

微机原理课程设计交通灯

一、课程设计题目 交通灯控制 二、课程设计目的 ●综合运用《微机原理与应用》课程知识,利用集成电路设计实 现一些中小规模电子电路或者完成一定功能的程序,以复习巩 固课堂所学的理论知识,提高程序设计能力及实现系统、绘制 系统电路图的能力,为实际应用奠定一定的基础。 ●掌握8255A方式0的使用与编程方法 ●PC机及配套的接口电路实验装置 ●IC芯片:8255A应用和8253 三、课程设计容 ●采用8255A设计交通灯控制的接口方案 ●采用8253设计延时电路 ●插接电路 ●编写控制程序 四、课程设计过程 1、设计原理 ●8255 8255是Intel公司生产的可编程并行I/O接口芯片,有3 个8位并行I/O口。具有3个通道3种工作方式的可编程 并行接口芯片(40引脚)。其部与引脚图如图所示:

8255有三个端口A、B、C端口,3种不同的工作方式,在其控制字的作用下使某一个端口工作于某一种工作状态下。 8253 intel8253是NMOS工艺制成的可编程计数器/定时器,其部有三个计数器,分别成为计数器0、计数器1和计数器2,他们的机构完全相同,如图所示:

每个计数器的输入和输出都决定于设置在控制寄存器中的控制字,互相之间工作完全独立,采用减1计数方式。控制字如图所示: 在门控信号有效时,每输入1个计数脉冲,通道作1次计数操作。当计数脉冲是已知周期的时钟信号时,计数就成为定时。各通道可有6种可供选择的工作方式,以完成定时、计数或脉冲发生器等多种功能。在这里我们主要采用方式0:计数结

束产生中断 (由低电平变为高电平)。其波形图如图所示: a. 写CW后:OUT=0,直到计数到0 b. 写N后:下1个CLK脉冲下降沿开始计数 c. 计数过程中,可重写N,重写N后,同b. d. GATE的作用:GATE=1计数、=0暂停计数 e. 计数到0:OUT=1,直到再写CW或N 2、方案设计 考虑普通十字路口,交通灯的控制可分东西向和南北向两 组,每组可用红、黄、绿三个灯进行交通管理,所以本方 案要点是至少对六个交通灯进行控制。由于灯光控制只需 要开、关两个状态,所以可以采用开关量实施控制。开关

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

交通规划课程设计报告小城市交通规划设计

交通规划课程设计报告小城市交通规 划设计

<交通运输系统规划>课程设计报告 学生姓名:____***____ 学号:____*** ___ 指导教师:____***____ 吉林大学交通学院 .09.02---- .09.15

目录 第一章绪论 (1) 1.1 设计目的与任务 (1) 1.2 设计内容 (1) 1.3 设计方法 (2) 第二章交通发生量与吸引量的预测 (3) 2.1 交通发生量的预测 (3) 2.1.1 多元线性回归方程的建立 (3) 2.1.2 规划年各小区交通发生量的预测 (7) 2.2 交通吸引量的预测 (8) 2.2.1 初步预测 (8) 2.2.2 调整计算 (8) 第三章交通分布预测 (9) 3.1 重力模型的标定 (9) 3.2 交通分布量预测 (13) 第四章交通分配 (16) 4.1 交通量的转换 (16) 4.2 交通分配 (16) 第五章结果分析 (19) 5.1 各小区土地利用的变化情况 (19) 5.2 结果分析 (22) 结语 (23) 附录:福莱特法源程序代码 (25)

第一章绪论 1.1设计目的与任务 交通运输规划课程设计是交通工程专业教学计划中实践教学的重要组成部分,是贯彻理论联系实际、培养高素质人才的重要实践环节:1.设计题目:《小城市交通规划设计》。 2.目的:经过交通规划设计工作,培养学生理论联系实际、实事求是的良好作风,并进一步明确本专业学习的宗旨与任务,增强学生完整的交通规划设计概念及强化规划意识。 3.任务:经过对现有路网进行分析划出交通影响区以及主要节点,并在未来预测年的经济、社会发展预测基础上,采用四阶段法进行相应的交通规划设计,使学生掌握交通规划的大致流程、基本技术方法和未来的发展趋势。 1.2设计内容 1.交通现状分析 主要对现有道路网络、交通影响区及主要节点进行分析。 2. 规划区域道路交通量预测 1) 建立小区交通发生、吸引模型; 2) 完成交通发生、吸引量的预测。 3. 交通分布

电子课程设计 交通灯

模拟电子技术课程设计 学院: 电气与信息工程学院 专业班级: 学生1姓名: 学生1学号: 学生2姓名: 学生2学号: 指导教师: 完成时间: 成绩: 存在得问题1: (9) 存在得问题2: (9) 存在得问题3: (9) 五.附录 (10) 表2 元器件明细表 (10) 附图2 (12) 实物图 (13) 六.参考文献 (13)

简易交通灯控制逻辑电路设计报告 一、设计要求 (1)东西方向绿灯亮,南北方向红灯亮,时间15s。 (2)东西方向与南北方向黄灯亮,时间5s。 (3) 南北方向绿灯亮,东西方向红灯亮,时间l0s。 (4) 如果发生紧急事件,可以手动控制四个方向红灯全亮。 二、设计得作用、目得 设计一个交通灯控制器,由一条主干道(东西道)与一条支干道(南北道)汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中得车辆有时间停在禁行线外。 主、支干道均有车时,两者交替允许通行,主干道每次放行15秒,支干道每次放行10秒,还有5秒得黄灯时间作为过度,让行驶中得车辆停在禁行线以外。 通过对交通灯得设计,加深对555定时器构建电路得理解,掌握基本电路在实际生活中得应用。 三.设计得基本实现 1、系统概述 因为信号灯得工作状态循环不变,故可以才用扭环形计数器将单位时间脉冲按分配来实现,根据要求,可以采用n=6得扭环形计数器。扭环形计数器可以由3个74LS-双D触发器组成。 系统由脉冲信号发生器、定时器、控制器、信号灯显示器五大部分组成。其中脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出定时信号,使相应得LED灯发光。控制器根据定时器得信号,进行状态间得转换,使显示器得显示发生相应转变。 2、单元电路得设计与分析

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

交通规划课程设计心得总结

在过去的一段时间里,我们小组分工协作、同心协力顺利完成了交通规划课程设计的任务。课程设计是一个很复杂的过程,涉及了对现状路网结构的调查、路网数据库的建立、发生和吸引交通量预测、分布交通量预测、交通量分配等内容,这无疑是一个巨大的工程。作为小组的一员,我在整个课程设计的过程中主要承担了会议记录的书写,调查获取的交通数据,搜集需要的人口等指标,Transcad的操作等等。在此过程中,不仅收获了顺境时成功的喜悦,同时也有逆境时失败的沮丧,不仅收获了理论与实践相结合带来的交通规划、计算机编程、TransCAD操作等知识运用能力的提高,而且也有小组团队成员的鼓励与信任,以及相互了解、彼此友谊的进一步加深,这些都使本次课程设计与交通调查实习充满意义和感动。 首先,在交通调查中我真正体会到了实践出真知,在对现状路网的各项指标的调查中,不仅要明确调查的目的、方法,更重要的是要有着严谨认真的态度。小小的误差看上去微不足道,但是当很多个误差叠加在一起是就会造成调查结果的不准确,这对后续课程设计造成了不必要的麻烦。通过交通调查,不仅增强了我的动手能力和团队协作精神,更重要的是让我懂得了在实习过程中要有严谨认真的态度,只有以良好的态度去做一件事情才能把事情做好。 其次,在调查结束之后,我负责的是利用TRANSCAD软件进行交通小区划分,路网构建和路网数据录入。根据视频的指导,我开始慢慢学习TRANSCAD软件的应用,当遇到问题时,我就向一些软件高手请教,或者小组讨论。比如,在开始阶段,我在道路网创建这一步骤中出现了失误,虽然在路网中各路段输入数据时没有显现问题,但紧接着后面的操作就难以进展。在组长的带领下,经过我们反复地推敲,我们最终完成了OD反推基年分布交通量,没有对后续过程产生影响。再有,未来的出行发生预测,所得到的结果并不平衡,因此也不能进行下一步操作,所以要进行出行吸引平衡,这个步骤不可或缺。这样,从一开始的迷茫,渐渐寻找到一些门路,到最后完全应用的得心应手。由于我负责的这部分是课程设计最初始的部分,所以如果我没有做好,剩下同学的工作就无法进行,这就需要我认真完成,不能有一点差错。最终,通过我的努力,和同学帮助,我顺利的完成了任务。 最后,我们小组成员一起,根据各人在实习以及课程设计过程中所负责工作

交通灯控制器课程设计报告

中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器 时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的

交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、 课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 四、 课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 555脉冲 振荡器 4024 计数器 组合逻辑电路 发光二极管电路 193 计数器 4511 驱动器 数码管

十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下 面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压范围:4.5V

道路交通规划课程设计

城市交通与道路系统规划课程设计任务书 一、学习目的 为了巩固和深入掌握在《城市交通与道路系统规划》课程中学到的理论知识和技术方法,实现理论与实际相结合,交通规划课程设计是人文地理与城乡规划专业需要掌握的实践环节。通过该课程设计,能够使学生掌握交通数据调查采集及现状分析、交通需求预测及分析、交通网络规划等技术,提高学生分析和解决实际问题的能力。 二、设计内容 1、分析庆阳市现状城市交通与道路系统存在的问题; 2、交通调查与预测; 3、庆阳市新区地块道路网规划设计; 4、选取其中一条主干道(必须选自己设计的某一条),进行横断面、平面、纵断面线形综合设计。 三、设计要求 1、运用所学知识,文字说明庆阳市城市交通与道路系统存在的问题. 2、交通调查与预测 调查所给路网的高峰小时交通量;查找相关资料,进行交通需求预测及分析。 3、地块道路网规划设计 形成快速路、主干道、次干道、支路四级体系,要求路网间距合理、道路线形顺畅、各级道路宽度符合规范、路网均衡、连通性好。 4、主干道横、平、纵断面设计 (1)基本技术指标 道路等级:城市主干道; 设计车速V:40~60km/h; 红线宽度:20-80m(根据自己设计); 车行道横坡:1.5%; 人行道横坡:2%。 (2)标准横断面图(1:100); 平面图(1:1000),内容包括:红线、车行道边线、分隔带、路中心线及

桩号、控制点座标及标高,平曲线要素,交叉口缘石半径,路幅宽度标注等; 纵断面图(纵向1:100,横向1:1000),根据控制点标高,结合周围地形,设计并画出纵断面图。 四、设计成果 1、设计说明 3000字以上说明,包括平曲线半径及曲线要素计算书。具体内容应包括城市道路与交通系统现状存在的问题,交通调查图示及说明,交通需求预测与分析。 地块道路网设计思路(快、主、次、支路)和主干道横、平、纵断面设计,包括平曲线曲线半径和要素的计算过程。 2、图纸 (1)图纸目录1份 (2)道路系统规划图 (3)道路系统分析图(快、主、次、支分析及各条道路横断面设计) (4)标准横断面图(CAD) (5)平面线形图(CAD) (6)纵断面图(CAD) (7)交叉口设计(CAD) 注意事项: 设计成果统一A3、装订成册,包括封面、封底、正文;封面可自行设计,含课程设计名称、姓名、学号、指导老师等必备信息。电子稿名称格式:学号姓名.doc。 所有图纸均有统一的图框、图名、图例、比例尺,设计者姓名及日期等。道路系统规划图和平面线形设计图还需指北针。 五、进度要求: 2016年 06 月 27 日9:00前必须上交成果。统一交至授课教师处。

相关主题