搜档网
当前位置:搜档网 › 常用芯片外围电路

常用芯片外围电路

数字电路芯片大全资料

芯片大全 -- 74系列芯片资料(还算可以)! 74系列芯片资料 反相器驱动器 LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245 与门与非门 LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38 或门或非门与或非门 LS02 LS32 LS51 LS64 LS65 异或门比较器 LS86 译码器 LS138 LS139 寄存器 LS74 LS175 LS373 反相器: Vcc 6A 6Y 5A 5Y 4A 4Y 六非门74LS04 ┌┴─┴─┴─┴─┴─┴─┴┐六非门(OC门) 74LS05 _ │1413 12 11 10 9 8│六非门(OC高压输出) 74LS06 Y = A )│ │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1Y 2A 2Y 3A 3Y GND 驱动器: Vcc 6A 6Y 5A 5Y 4A 4Y ┌┴─┴─┴─┴─┴─┴─┴┐ │1413 12 11 10 9 8│ Y = A )│六驱动器(OC高压输出) 74LS07 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1Y 2A 2Y 3A 3Y GND Vcc -4C 4A 4Y -3C 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ _ │1413 12 11 10 9 8│

Y =A+C )│四总线三态门 74LS125 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ -1C 1A 1Y -2C 2A 2Y GND Vcc -G B1 B2 B3 B4 B8 B6 B7 B8 ┌┴─┴─┴─┴─┴─┴─┴─┴─┴─┴┐8位总线驱动器 74LS245 │20 19 18 17 16 15 14 13 12 11│ )│DIR =1 A=>B │ 1 2 3 4 5 6 7 8 9 10│DIR=0 B=>A └┬─┬─┬─┬─┬─┬─┬─┬─┬─┬┘ DIR A1 A2 A3 A4 A5 A6 A7 A8 GND 页首非门,驱动器与门,与非门或门,或非门异或门,比较器译码器寄存器 正逻辑与门,与非门: Vcc 4B 4A 4Y 3B 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ │1413 12 11 10 9 8│ Y = AB )│2输入四正与门 74LS08 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 4B 4A 4Y 3B 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ __ │1413 12 11 10 9 8│ Y = AB )│2输入四正与非门 74LS00 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1B 1Y 2A 2B 2Y GND Vcc 1C 1Y 3C 3B 3A 3Y ┌┴─┴─┴─┴─┴─┴─┴┐ ___ │1413 12 11 10 9 8│ Y = ABC )│3输入三正与非门 74LS10 │ 1 2 3 4 5 6 7│ └┬─┬─┬─┬─┬─┬─┬┘ 1A 1B 2A 2B 2C 2Y GND Vcc H G Y

常用数字芯片型号解读

常用数字芯片型号解读 逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、RS422、RS485等。 图1-1:常用逻辑系列器件 TTL:Transistor-Transistor Logic CMOS:Complementary Metal Oxide Semicondutor LVTTL:Low Voltage TTL LVCMOS:Low Voltage CMOS ECL:Emitter Coupled Logic, PECL:Pseudo/Positive Emitter Coupled Logic LVDS:Low Voltage Differential Signaling GTL:Gunning Transceiver Logic BTL:Backplane Transceiver Logic ETL:enhanced transceiver logic GTLP:Gunning Transceiver Logic Plus TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等 S - Schottky Logic LS - Low-Power Schottky Logic CD4000 - CMOS Logic 4000 AS - Advanced Schottky Logic 74F - Fast Logic ALS - Advanced Low-Power Schottky Logic HC/HCT - High-Speed CMOS Logic BCT - BiCMOS Technology AC/ACT - Advanced CMOS Logic FCT - Fast CMOS Technology ABT - Advanced BiCMOS Technology LVT - Low-Voltage BiCMOS Technology LVC - Low Voltage CMOS Technology LV - Low-Voltage CBT - Crossbar Technology ALVC - Advanced Low-Voltage CMOS Technology AHC/AHCT - Advanced High-Speed CMOS CBTLV - Low-Voltage Crossbar Technology ALVT - Advanced Low-Voltage BiCMOS Technology AVC - Advanced Very-Low-Voltage CMOS Logic TTL器件和CMOS器件的逻辑电平 :逻辑电平的一些概念 要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil时,则认为输入电平为低电平。 3:输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的

电子设计常用芯片

741 运算放大器 2063A JRC杜比降噪 20730 双功放 24C01AIPB21 存储器 27256 256K-EPROM 27512 512K-EPROM 2SK212 显示屏照明 3132V 32V三端稳压 3415D 双运放 3782M 音频功放 4013 双D触发器 4017 十进制计数器/脉冲分配器4021 游戏机手柄 4046 锁相环电路 4067 16通道模拟多路开关 4069 游戏机手柄 4093 四2输入施密特触发器 4098 41256 动态存储器 52432-01 可编程延时电路 56A245 开关电源 5G0401 声控IC 5G673 八位触摸互锁开关 5G673 触摸调光 5G673 电子开关 6116 静态RAM 6164 静态RAM 65840 单片数码卡拉OK变调处理器7107 数字万用表A/D转换器74123 单稳多谐振荡器 74164 移位寄存器 7474 双D触发器 7493 16分频计数器 74HC04 六反相器 74HC157 微机接口 74HC4053 74HCU04 六反相器 74LS00 与门 74LS00 4*2与非门 74LS00 四2与非门 74LS00 与门 74LS04 6*1非门 74LS08 4*2与门 74LS11 三与门 74LS123 双单稳多谐振荡器 74LS123 双单稳多谐振荡器 74LS138 三~八译码器 74LS142 十进制计数器/脉冲分配器74LS154 4-16线译码器 74LS157 四与或门74LS161 四2计数器 74LS161 十六进制同步计数器 74LS161 四~二计数器 74LS164 数码管驱动 74LS18 射频调制器 74LS193 加/减计数器 74LS193 四2进制计数器 74LS194 双向移位寄存器 74LS27 4*2或非门 74LS32 四或门 74LS32 4*2或门 74LS374 八位D触发器 74LS374 三态同相八D触发器 74LS377 74LS48 7位LED驱动 74LS73 双J-K触发器 74LS74 双D触发器 74LS85 四位比较器 74LS90 计数器 75140 线路接收器 75141 线路接收器 75142A 线路接收器 75143A 线路接收器 7555 时钟发生器 79MG 四端负稳压器 8051 空调单片机 8338 六反相器 A1011 降噪 ACVP2205-26 梳状滤波视频处理 AD536 专用运放 AD558 双极型8位D-A(含基准电压)变换器AD558 双极型8位D-A(含基准电压)变换器AD574A 12比特A/D变换器 AD650 AD670 8比特A/D变换器(单电源)1995s-2、15 AD7523 D-A变换器1994x-125 AD7524 D-A变换器1994x-126 AD7533 模数转换器1994x-141 AD7533 模数转换器1995s-184 ADC0804 8比特A/D变换器1995s-2、20 ADC0809 8CH8比特A/D 1995s-2、23 ADC0833 A/D变换4路转换器1995s-2 ADC80 12比特A/D变换器1995s-2、8 ADC84/85 高速12比特A/D变换器1995s-2 AG101 手掌游戏机1993x-155 AM6081 双极型8位D-A变换器1994x-127 AMP1200 音频功放皇后1993s-104 AN115 立体声解码1991-135 AN2510S 摄象机寻象器1994x-109 AN2661NK 影碟机视频1995s-45

数字电路常用芯片应用设计

74ls138 摘要: 74LS138 为3 -8 线译码器,共有54/74S138和54/74LS138 两种线路结构型式,其中LS是指采用低功耗肖特基电路. 引脚图: 工作原理: 当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。利用G1、/(G2A)和/(G2B)可级联扩展成24 线译码器;若外接一个反相器还可级联扩展成32 线译码器。若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。 内部电路结构:

功能表真值表: 简单应用:

74ls139: 74LS139功能: 54/74LS139为2 线-4 线译码器,也可作数据分配器。其主要电特性的典型值如下:型号54LS139/74LS139 传递延迟时间22ns 功耗34mW 当选通端(G1)为高电平,可将地址端(A、B)的二进制编码在一个对应的输出端以低电平译出。若将选通端(G1)作为数据输入端时,139 还可作数据分配器。 74ls139引脚图:

引出端符号: A、B:译码地址输入端 G1、G2 :选通端(低电平有效) Y0~Y3:译码输出端(低电平有效74LS139内部逻辑图:

74LS139真值表: 74ls164: 164 为8 位移位寄存器,其主要电特性的典型值如下:54/74164 185mW 54/74LS164 80mW当清除端(CLEAR)为低电平时,输出端(QA -QH)均为低电平。串行数据输入端(A,B)可控制数据。当A、B任意一个为低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0 为低电平。当A、B 有一个为高电平,则另一个就允许输入数据,并在CLOCK 上升沿作用下决定Q0 的状态。 引脚功能: CLOCK :时钟输入端CLEAR:同步清除输入端(低电平有效)A,B :串行数据输入端QA-QH:输出端 (图1 74LS164封装图)

数字电路知识点汇总(精华版)

数字电路知识点汇总(东南大学)第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 c.分配律:) A? ?=+ B (C A? A C ?B A+ B + +) ? = C )() ) (C A B A 3)逻辑函数的特殊规律 a.同一律:A+A+A b.摩根定律:B A+ B ? A = A B A? = +,B

b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 B⊕ C A 解:先用摩根定理展开:AB=B A+再用吸收法 L=E AB+ + B A =E A+ + B + B D A =) A A+ D + + ( ) (E B B =) A A+ + D + ) 1( 1(E B B

=B A + 3)消去法 利用B A B A A +=+ 消去多余的因子 例如,化简函数L=ABC B A B A A +++ 解: L=ABC E B A B A B A +++ B A B A B A =)()()(BC A C B A C B A C B C B A B A +++?++? =)()1()1(B B C A A C B C B A +++++? =C A C B B A ++? 2.应用举例 将下列函数化简成最简的与-或表达式

1)L=A D DCE BD B A +++ 2) L=AC C B B A ++ 3) L=ABCD C B C A AB +++ 解:1)L=A D DCE BD B A +++ =DCE A B D B A +++)( A B B =)()(C B A C A ABCD C AB AB ++++ =)1()1(B C A CD C AB ++++ =C A AB + 四、逻辑函数的化简—卡诺图化简法: 卡诺图是由真值表转换而来的,在变量卡诺图中,变量的取值顺序是按循环码

电平信号及接口电路

电平信号及接口电路 ——————————————————————————————————— 摘要:介绍了目前数字信号设计中,IC芯片常用电平的原理、应用及各种电平信号相互转换的实现方法,PCB布线技巧等。 关键词:TTL、CMOS、ECL、PECL、LVPECL、LVDS、CML 概述 随着数据传输业务需求的增加,如何高质量的解决高速IC 芯片间的互连变得越来越重要。从目前发展来看,芯片主要有以下几种接口电平:TTL(LVTTL)、CMOS、ECL、PECL、LVPECL、LVDS等,其中PECL、LVPECL、LVDS主要应用在高速芯片的接口,不同电平间是不能直接互连的,需要相应的电平转换电路和转换芯片,了解各种电平的结构及性能参数对分析电路是十分必要有益的,本文正是从各种电平信号的性能参数开始,结合参考资料对电平信号的互连进行介绍。 图1 常用电平信号 图1展示了各种电平信号的差异:方波的振幅表示逻辑高低电平值,括号中的电压值表示电源电压值。 下面先介绍一下电路的相关基本概念: (1)输出高电平(VOH):逻辑电平为1的输出电压,相应的输出电流用I OH表示。 (2)输出低电平(VOL):逻辑电平为0的输出电压,相应的输出电流用I OL表示。 (3)输入高电平(VIH):逻辑电平为1的输入电压,相应的输入电流用I IH表示。 (4)输入低电平(VIL):逻辑电平为0的输入电压,相应的输入电流用I IL表示。 (5)关门电平(V OFF):保证输出为标准高电平V SH(出厂时厂家给出)的条件下所允许的最大 输入低电平值。 (6)开门电平(V ON):保证输出为标准低电平V SL(出厂时厂家给出)的条件下所允许的最小输 入高电平值。 (7)低电平噪声容限(V NL):是保证输出高电平的前提下,允许叠加在输入低电平上的最大噪 声电压,其数值为关门电平V OFF与输入最小低电平的差值。 (8)高电平噪声容限(V NH):是保证输出低电平的前提下,允许叠加在输入高电平上的最大噪 声电压,其数值为输入最大低电平与开门电平V ON的差值。 (9) 输出差分信号

常用数字电路单元的结构

第3章常用数字单元电路结构 3.1 引言 本章介绍CMOS数字电路中常用单元电路的结构。本章暂不考虑电路性能问题,因此可将MOS管看成受电压控制的开关。 3.2 互补静态CMOS逻辑 互补静态逻辑是CMOS电路中最重要的逻辑系列,目前多数CMOS逻辑电路采用这种方法设计,其一般结构如图3-1。 互补静态逻辑的任何单元电路都是由一个连接VDD的pMOS上拉网络和一个连接GND的nMOS下拉网络构成。所谓互补关系指这样一种对应关系,在上拉网络中的PMOS管个数与下拉网络中NMOS管个数相等,且在nMOS网络中串联的晶体管,必须对应pMOS网络中的并联晶体管,nMOS网络中的并联晶体管必须对应pMOS网络中的串联晶体管。满足这种关系时,对于任何输入组合,必有一个网络导通,而另一个网络截止。这种CMOS逻辑门在输入稳定时,不会有从VDD到GND的电流,因此,其静态功耗很低,这是CMOS电路的主要优点。静态CMOS逻辑门的另一个重要优点是,在任何输入组合下,输出端或者通过pMOS网络上拉到VDD,或者通过nMOS网络下拉到GND,输出逻辑状态比较稳定,有较强的抗干扰能力。 3.1.1互补静态逻辑基本CMOS逻辑门

图3-2是一些基本的CMOS 逻辑门,可以看出,这些基本CMOS 门都符合互补关系。 互补静态CMOS 逻辑门的pMOS 网络和nMOS 网络的导通逻辑恰好相反,如果用F N 表示nMOS 网络的导通逻辑,F P 表示pMOS 网络的导通逻辑,则必须有 P N F F = (3-1) 例如,在与非门中, AB F N = AB B A F P =+= 整个门的逻辑关系与pMOS 网络的导通逻辑相同,但观察nMOS 网络的导通条件更容易些。这种关系也可以推广到更复杂的电路。 CMOS 逻辑门总是含有反相关系,nMOS 下拉网络总是在部分或全部输入为“1”时导通,从而使输出为“0”。对于任何互补CMOS 逻辑门,判断逻辑关系的方法是:根据nMOS 网络的导通逻辑,再加上“非”逻辑,就可以得到整个门的逻辑关系。 在互补静态CMOS 逻辑门中,只有反相器、与非门和或非门是最基本的逻辑门,而与门和或门要利用上述基本逻辑门实现,也就是说,一个与门的晶体管数相当于相同输入的与非门的晶体管数加2。

外围接口电路典型故障点

·关于外设的热拔插 带电热插拔各种电脑外设是危险的操作,轻则造成系统或硬件工作不正常,重则造成硬件接口或主板的损坏。正确做法:除了USB、IEEE1394接口和串口硬盘(SATA,但IDE不支持热拔插)外,一般普通台式机各种外设均不可带电热插拔,所以在进行其他硬件操作时不要怕麻烦,一定要正确.带电热插拔各种电脑外设很多朋友在进行各种硬件操作时,为图省事经常在未关机的情况下带电插拔PS/2接口的鼠标、键盘和各种串、并口设备。 ·VGA电路的检测 【常见故障现象及关键测试点】 ①显示器和接口均无反应,或者显示不稳定: 检测VGA的供电脚(第9针)是否有+5V_CON;检测+5V_CON的产生,涉及稳压二极管D5、保险丝电阻FU2的检测。 ②无法显示:U5、U6损坏,造成水平同步信号VGA_HSYNC_3V或者垂直同步信号VGA_VSYNC_3V缺失,显示屏无法输出。同时,还需要检测有无V_BUFF(+5V)输出。 ③缺色故障:VGA第1针(RED_A)并联了两个元件FB7和D15,用二极管档位检测这两个元件与第1针是否相通。若不通,则缺红色; VGA第2针(GREEN_A)并联了两个元件FB8和D16,用二极管档位检测这两个元件与第2针是否相通。若不通,则缺绿色; VGA第3针(BLUE_A)并联了两个元件FB9和D17,用二极管档位检测这两个元件与第3针是否相通。若不通,则缺蓝色; ④其他故障点:R109短路、R407(蓝桥旁边)短路、VGA15个引脚的对地阻值检测并总结规律。 【注意】!:外围接口电路最常用的检测方法是“对地阻值检测”法,参看《对地阻值检测》视频。 ·DVI电路的检测 【常见故障现象及关键测试点】 ①显示器和接口均无反应,或者显示不稳定: 检测DVI电源脚第14针,有无+5V_CON电压;检测+5V_CON的产生,涉及稳压二极管D5、保险丝电阻FU2的检测。 ②DVI数据传输线路故障:(对地阻值检测法) (1)6条数据线DVI_TX(1、2;9、10;17、18针)的检测。DVI_TX2_DN、DVI_TX2_DP (第1、2针)涉及C116、C115、R618、R619、Q25、R113;DVI_TX1_DN、DVI_TX1_DP(第9、10针)涉及C118、C119、R620、R621、Q25、R113;DVI_TX0_DN、DVI_TX0_DP(第17、18针)涉及C120、C121、R622、R623、Q25、R113;以及南桥DDSP_D_TX信号针 (2)显示器参数传输线DVI_SDA(第7针)和DVI_SCL(第6针)的检测。涉及R131、Q29、R132、南桥PCH_I2C_CLK_DVI信号针;R137、Q30、R133、南桥PCH_I2C_DATA_DVI信号针。 ③时钟线路故障:即DVI_CLK_DP/DN信号缺失,涉及C122/C123、R624/R625、Q25、R113 ④热拔插故障:即DVI_HPD故障,涉及R627、+5V_CON、R134、R628、Q31、R626、南桥PCH_DVI_HPD信号。 ·音频电路的检测

常用数字集成电路集锦

门电路 四2输入或非门 4001/7402 复合门电路 4007 四2输入与非门 4011 /7408 双4输入与非门4012/7420 三3入与非门4023/7410 四异或门4030/4070/4077/7486 4输入可扩展多功能门4048 八输入与非门/与门4068 六反相器4069/4049 六反相器7404/7405/7406 8输入或非门/或门 4078 四2输入与门4081/7408 双4输入与门4082/7421 其它 4085/4086/4530等 触发器 双主-从D型触发器4013/7474 双J-K触发器4027/74111~74114 四锁存D型触发器4042 4三态R-S锁存触发器4043 四2输入施密特触发器4093/40106 3输入端J-K触发器4095/4096 8位可寻址锁存器4099/4599

六锁存D型触发器40174/40175 双4位锁存D型触发器 4508 六锁存D型触发器74174/74175 8D锁存器74273/74373 时基延时分频电路 通用定时电路555 无稳态/单稳态多谐振荡器4047 “N”分频计数器4059 二进制比例乘法器 4089 24级分频器4521 BCD比例乘法器4527 单稳态多谐振荡器74121~74123 单稳态多谐振荡器74221 其它 MM5369/MN6041/MC14451 计数器 脉冲分配器/计数器 4017、4022 二进制串行计数器 4020、4024、4040、4060;74161、74162、74163; 可预置4位二进制/BCD加减计数器 4029 可预置4位BCD/二进制计数器40161、40162、40163; 可预置4位可逆计数器40192、40193;74190-74193 可预置4位可逆计数器4510、4516 双4 位BCD/二进制同步加计数器4518、4520 可预置同步1/N计数器 4522、4526

常用数字芯片大全

产品 型号规格性能说明型号规格性能说明 名称 74LS SN74LSOO四2输入与非门SN74LSO1四2输入与非门 SN74LSO2四2输入与非门SN74LS03四2输入与非门 SN74LS04六反相器SN74LS05六反相器 SN74LS06六反相缓冲器/驱动器SN74LS07六缓冲器/驱动器 SN74LS08四2输入与非门SN74LS09四2输入与非门 SN74LS10三3输入与非门SN74LS11三3输入与非门 SN74LS12三3输入与非门SN74LS13三3输入与非门 SN74LS14六反相器.斯密特触发SN74LS15三3输入与非门 SN74LS16六反相缓冲器/驱动器SN74LS17六反相缓冲器/驱动器 SN74LS20双4输入与门SN74LS21双4输入与门 SN74LS22双4输入与门SN74LS25双4输入与门 SN74LS26四2输入与非门SN74LS27三3输入与非门 SN74LS28四输入端或非缓冲器SN74LS30八输入端与非门 SN74LS32四2输入或门SN74LS33四2输入或门 SN74LS37四输入端与非缓冲器SN74LS38双2输入与非缓冲器 SN74LS40四输入端与非缓冲器SN74LS42BCD-十进制译码器 SN74LS47BCD-七段译码驱动器SN74LS48BCD-七段译码驱动器SN74LS49BCD-七段译码驱动器SN74LS51三3输入双与或非门 SN74LS54四输入与或非门SN74LS55四4输入与或非门 SN74LS63六电流读出接口门SN74LS73双J-K触发器 SN74LS74双D触发器SN74LS754位双稳锁存器 SN74LS76双J-K触发器SN74LS78双J-K触发器 SN74LS83双J-K触发器SN74LS854位幅度比较器 SN74LS86四2输入异或门SN74LS884位全加器 SN74LS904位十进制波动计数器SN74LS918位移位寄存器 SN74LS9212分频计数器SN74LS93二进制计数器 SN74LS965位移位寄存器SN74LS954位并入并出寄存器 SN74LS109正沿触发双J-K触发器SN74LS107双J-K触发器 SN74LS113双J-K负沿触发器SN74LS112双J-K负沿触发器 SN74LS121单稳态多谐振荡器SN74LS114双J-K负沿触发器 SN74LS123双稳态多谐振荡器SN74LS122单稳态多谐振荡器 SN74LS125三态缓冲器SN74LS124双压控振荡器 SN74LS1313-8线译码器SN74LS126四3态总线缓冲器 SN74LS13313输入与非门SN74LS132二输入与非触发器 SN74LS137地址锁存3-8线译码器SN74LS136四异或门 SN74LS139双2-4线译码-转换器SN74LS1383-8线译码/转换器 SN74LS14710-4线优先编码器SN74LS145BCD十进制译码/驱动器SN74LS153双4选1数据选择器SN74LS1488-3线优先编码器 SN74LS155双2-4线多路分配器SN74LS1518选1数据选择器 SN74LS157四2选1数据选择器SN74LS1544-16线多路分配器 SN74LS160同步BDC十进制计数器SN74LS156双2-4线多路分配器

ARM11外围接口电路工作原理

ARM11外围接口电路工作原理 ARM芯片外围接口电路总共包括:POWER/BOOT/USBOTG/RTC/LED、USBHOST/JTAG、UART/KEY、LCD/CAMERA、SD/WIFI、AC97、ETHERNET/TVOUT/GPIO、CONNECTOR几个部分。用到的芯片有MAX3430、SP3222E、WM9714L、DM9000A等 每个部分的功能原理和所用芯片的功能作用如下所述: 1、POWER/BOOT/USBOTG/RTC/LED MAIN POWER为ARM芯片提供电源。模块中LM2596简单开关电源转换器150千赫3A 降压稳压器。该系列稳压器LM2596单片集成电路,提供所有的降压活性功能(降压)开关稳压器,驱动一个出色的线路和负载调节3A的负载能力。 BOOTSET:控制完成初始化、串口收发数据、启动计数器和FLASH操作等步骤。 USB OTG:主要应用于各种不同的设备或移动设备间的联接,进行数据交换。 RTC:英文全称是Real-Time Clock,翻译过来是实时时钟芯片. RTC是PC主板上的晶振及相关电路组成的时钟电路的生成脉冲,RTC经过8254电路的变频产生一个频率较低一点的OS(系统)时钟TSC,系统时钟每一个cpu周期加一,每次系统时钟在系统初起时通过RTC 初始化。 LED:由发光二极管和三级管组成的电路,三级管起到开关作用,发光二极管显示高低电平。 2、USBHOST/JTAG 2.1 USBHOST 用一个USB HUB,可以将一个USB接口扩展为为4个,并可以使这些接口同时使用DPU和DMU。 2.2 JTAG JTAG部分主要用于芯片内部测试,基本原理是在器件内部定义一个TAP (Test Access Port;测试访问口)通过专用的JTAG测试工具对进行内部节点进行测试。JTAG测试允许多个器件通过JTAG接口串联在一起,形成一个JTAG链,能实现对各个器件分别测试。现在,JTAG接口还常用于实现

常用好用的最基础的数字电路

好用的最基础的数字电路 我们常见的数字电路,不管是CPU还是MCU,其实内部都是以下边这些数字电路为基础的,所有的数字电路,主要分为时序逻辑电路和组合逻辑电路,其中组合逻辑电路是以下边这些电路中的与门,非门,或门电路组合而成的,像一般的数字逻辑电路设计就完全可以使用卡诺图化简方法对自已要设计的组合逻辑进行化简,再对化简后的逻辑使用下边所列出来的芯片搭接成自已所设计的逻辑,比如编码器和解码器,不过因为现在FPGA和CPLD等芯片的流行,以及使用verilog或者VHDL等硬件描述语言对FPGA或者CPLD等数字芯片设计的方便性,这种全新的设计方便性使得人们忘记这些最最基础的数字逻辑电路。其实有很很简单的逻辑完全可以使用下边的基本电路实现。了解下边的这些电路,会使得你在一些小的控制系统中用简单的MCU来实现外围电路的方便控制,进而来减小电路的实时性压力,更加完全的发辉MCU的功能。 这些简单的逻辑电路,是最原始,当然也是最实用的芯片,对于一般的数字电路设计人员是必须要熟知的。 54/74系列电路为TTL电路: 54系列为军品,一般芯片的价格会比较高,工作温度一般都在-45℃到125℃之间,而且大多为瓷封。而74系列电路是民用级的或者工业级的芯片,功能上与54系列完全相同,只是在工作温度上和电源电压上有所不同,且大多为塑封。 工作温度范围:54的为-55℃~125℃,74的为-40℃~85℃ 电源压范围:最大电源电压5.5V(54系列,74为5.25V),最小电源电压4.5V(74为4.75V) 输入高电平电压>2V 输入低电平电压<0.8V(部分74系列电路为<0.7V) 输入漏电流,一般高电平在几十个uA的级别,低电平在1到2mA之间 输出高电平电压>2.4 输出低电平电压<0.4V(部分电路为<0.5V) 电源功耗一般是从几个mA到几十个mA. 输出驱动能力一般比较高大多都在十几个mA级,且低电平灌电流能力强,一般可达几十mA.

常用数字芯片大全知识交流

常用数字芯片大全

产品 型号规格性能说明型号规格性能说明 名称 74LS SN74LSOO四2输入与非门SN74LSO1四2输入与非门 SN74LSO2四2输入与非门SN74LS03四2输入与非门 SN74LS04六反相器SN74LS05六反相器 SN74LS06六反相缓冲器/驱动器SN74LS07六缓冲器/驱动器 SN74LS08四2输入与非门SN74LS09四2输入与非门 SN74LS10三3输入与非门SN74LS11三3输入与非门 SN74LS12三3输入与非门SN74LS13三3输入与非门 SN74LS14六反相器.斯密特触发SN74LS15三3输入与非门 SN74LS16六反相缓冲器/驱动器SN74LS17六反相缓冲器/驱动器 SN74LS20双4输入与门SN74LS21双4输入与门 SN74LS22双4输入与门SN74LS25双4输入与门 SN74LS26四2输入与非门SN74LS27三3输入与非门 SN74LS28四输入端或非缓冲器SN74LS30八输入端与非门 SN74LS32四2输入或门SN74LS33四2输入或门 SN74LS37四输入端与非缓冲器SN74LS38双2输入与非缓冲器 SN74LS40四输入端与非缓冲器SN74LS42BCD-十进制译码器 SN74LS47BCD-七段译码驱动器SN74LS48BCD-七段译码驱动器 SN74LS49BCD-七段译码驱动器SN74LS51三3输入双与或非门 SN74LS54四输入与或非门SN74LS55四4输入与或非门 SN74LS63六电流读出接口门SN74LS73双J-K触发器 SN74LS74双D触发器SN74LS754位双稳锁存器 SN74LS76双J-K触发器SN74LS78双J-K触发器 SN74LS83双J-K触发器SN74LS854位幅度比较器 SN74LS86四2输入异或门SN74LS884位全加器 SN74LS904位十进制波动计数器SN74LS918位移位寄存器 SN74LS9212分频计数器SN74LS93二进制计数器 SN74LS965位移位寄存器SN74LS954位并入并出寄存器 SN74LS109正沿触发双J-K触发器SN74LS107双J-K触发器 SN74LS113双J-K负沿触发器SN74LS112双J-K负沿触发器 SN74LS121单稳态多谐振荡器SN74LS114双J-K负沿触发器 SN74LS123双稳态多谐振荡器SN74LS122单稳态多谐振荡器 SN74LS125三态缓冲器SN74LS124双压控振荡器 SN74LS1313-8线译码器SN74LS126四3态总线缓冲器 SN74LS13313输入与非门SN74LS132二输入与非触发器 SN74LS137地址锁存3-8线译码器SN74LS136四异或门 SN74LS139双2-4线译码-转换器SN74LS1383-8线译码/转换器 SN74LS14710-4线优先编码器SN74LS145BCD十进制译码/驱动器SN74LS153双4选1数据选择器SN74LS1488-3线优先编码器 SN74LS155双2-4线多路分配器SN74LS1518选1数据选择器 SN74LS157四2选1数据选择器SN74LS1544-16线多路分配器 SN74LS160同步BDC十进制计数器SN74LS156双2-4线多路分配器 SN74LS162同步BDC十进制计数器SN74LS158四2选1数据选择器 SN74LS1648位串入并出移位寄存SN74LS1614位二进制计数器 SN74LS1668位移位寄存器SN74LS1634位二进制计数器 SN74LS1694位可逆同步计数器SN74LS1658位移位寄存器 SN74LS17216位多通道寄存器堆SN74LS1684位可逆同步计数器

(整理)常用74系列和CMOS 4000系列数字集成电路功能一览表

常用74系列和CMOS 4000系列数字集成电路功能一览表

常见数字逻辑器件中文注解 74系列:: 74LS00 TTL 2输入端四与非门 74LS01 TTL 集电极开路2输入端四与非门74LS02 TTL 2输入端四或非门 74LS03 TTL 集电极开路2输入端四与非门74LS04 TTL 六反相器 74LS05 TTL 集电极开路六反相器 74LS06 TTL 集电极开路六反相高压驱动器74LS07 TTL 集电极开路六正相高压驱动器74LS08 TTL 2输入端四与门 74LS09 TTL 集电极开路2输入端四与门 74LS10 TTL 3输入端3与非门 74LS107 TTL 带清除主从双J-K触发器 74LS109 TTL 带预置清除正触发双J-K触发器74LS11 TTL 3输入端3与门 74LS112 TTL 带预置清除负触发双J-K触发器74LS12 TTL 开路输出3输入端三与非门 74LS121 TTL 单稳态多谐振荡器 74LS122 TTL 可再触发单稳态多谐振荡器 74LS123 TTL 双可再触发单稳态多谐振荡器 74LS125 TTL 三态输出高有效四总线缓冲门 74LS126 TTL 三态输出低有效四总线缓冲门

74LS13 TTL 4输入端双与非施密特触发器 74LS132 TTL 2输入端四与非施密特触发器 74LS133 TTL 13输入端与非门 74LS136 TTL 四异或门 74LS138 TTL 3-8线译码器/复工器 74LS139 TTL 双2-4线译码器/复工器 74LS14 TTL 六反相施密特触发器 74LS145 TTL BCD—十进制译码/驱动器 74LS15 TTL 开路输出3输入端三与门 74LS150 TTL 16选1数据选择/多路开关 74LS151 TTL 8选1数据选择器 74LS153 TTL 双4选1数据选择器 74LS154 TTL 4线—16线译码器 74LS155 TTL 图腾柱输出译码器/分配器 74LS156 TTL 开路输出译码器/分配器 74LS157 TTL 同相输出四2选1数据选择器 74LS158 TTL 反相输出四2选1数据选择器 74LS16 TTL 开路输出六反相缓冲/驱动器 74LS160 TTL 可预置BCD异步清除计数器 74LS161 TTL 可予制四位二进制异步清除计数器74LS162 TTL 可预置BCD同步清除计数器 74LS163 TTL 可予制四位二进制同步清除计数器74LS164 TTL 八位串行入/并行输出移位寄存器74LS165 TTL 八位并行入/串行输出移位寄存器74LS166 TTL 八位并入/串出移位寄存器 74LS169 TTL 二进制四位加/减同步计数器 74LS17 TTL 开路输出六同相缓冲/驱动器 74LS170 TTL 开路输出4×4寄存器堆 74LS173 TTL 三态输出四位D型寄存器 74LS174 TTL 带公共时钟和复位六D触发器

常用40、45、74系列标准数字电路简单介绍

常用40、45、74系列标准数字电路简单介绍 常用4000系列标准数字电路的中文名称 资料 型号器件名称厂牌备注 CD4000 双3输入端或非门+单非门TI CD4001 四2输入端或非门 HIT/NSC/TI/GOL CD4002 双4输入端或非门NSC CD4006 18位串入/串出移位寄存器NSC CD4007 双互补对加反相器NSC CD4008 4位超前进位全加器NSC CD4009 六反相缓冲/变换器NSC CD4010 六同相缓冲/变换器NSC CD4011 四2输入端与非门HIT/TI CD4012 双4输入端与非门NSC CD4013 双主-从D型触发器 FSC/NSC/TOS CD4014 8位串入/并入-串出移位寄存器NSC CD4015 双4位串入/并出移位寄存器TI CD4016 四传输门FSC/TI CD4017 十进制计数/分配器 FSC/TI/MOT CD4018 可预制1/N计数器NSC/MOT CD4019 四与或选择器PHI CD4020 14级串行二进制计数/分频器FSC CD4021 08位串入/并入-串出移位寄存器PHI/NSC CD4022 八进制计数/分配器NSC/MOT CD4023 三3输入端与非门 NSC/MOT/TI CD4024 7级二进制串行计数/分频器NSC/MOT/TI CD4025 三3输入端或非门 NSC/MOT/TI CD4026 十进制计数/7段译码器 NSC/MOT/TI CD4027 双J-K触发器NSC/MOT/TI CD4028 BCD码十进制译码器 NSC/MOT/TI CD4029 可预置可逆计数器 NSC/MOT/TI CD4030 四异或门NSC/MOT/TI/GOL CD4031 64位串入/串出移位存储器 NSC/MOT/TI CD4032 三串行加法器NSC/TI CD4033 十进制计数/7段译码器NSC/TI CD4034 8位通用总线寄存器 NSC/MOT/TI CD4035 4位并入/串入-并出/串出移位寄存NSC/MOT/TI CD4038 三串行加法器NSC/TI CD4040 12级二进制串行计数/分频器NSC/MOT/TI CD4041 四同相/反相缓冲器 NSC/MOT/TI CD4042 四锁存D型触发器 NSC/MOT/TI CD4043 4三态R-S锁存触发器("1"触发) NSC/MOT/TI CD4044 四三态R-S锁存触发器("0"触发) NSC/MOT/TI CD4046 锁相环NSC/MOT/TI/PHI CD4047 无稳态/单稳态多谐振荡器 NSC/MOT/TI CD4048 4输入端可扩展多功能门 NSC/HIT/TI CD4049 六反相缓冲/变换器 NSC/HIT/TI CD4050 六同相缓冲/变换器 NSC/MOT/TI CD4051 八选一模拟开关NSC/MOT/TI CD4052 双4选1模拟开关NSC/MOT/TI CD4053 三组二路模拟开关 NSC/MOT/TI CD4054 液晶显示驱动器NSC/HIT/TI CD4055 BCD-7段译码/液晶驱动器 NSC/HIT/TI CD4056 液晶显示驱动器NSC/HIT/TI CD4059 “N”分频计数器NSC/TI CD4060 14级二进制串行计数/分频器NSC/TI/MOT CD4063 四位数字比较器NSC/HIT/TI CD4066 四传输门NSC/TI/MOT CD4067 16选1模拟开关NSC/TI CD4068 八输入端与非门/与门 NSC/HIT/TI 74系列芯片功能大全 7400 TTL 2输入端四与非门7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门7411 TTL 3输入端3与门 7412 TTL 开路输出3输入端三与非门 7413 TTL 4输入端双与非施密特触发器 7414 TTL 六反相施密特触发器7415 TTL 开路输出3输入端三与门 7416 TTL 开路输出六反相缓冲/驱动器 7417 TTL 开路输出六同相缓冲/驱动器 7420 TTL 4输入端双与非门7421 TTL 4输入端双与门 7422 TTL 开路输出4输入端双与非门 7427 TTL 3输入端三或非门7428 TTL 2输入端四或非门缓冲器 7430 TTL 8输入端与非门 7432 TTL 2输入端四或门 7433 TTL 开路输出2输入端四或非缓冲器 7437 TTL 开路输出2输入端四与非缓冲器 7437 TTL 开路输出2输入端四与非缓冲器 7438 TTL 开路输出2输入端四与非缓冲器 7438 TTL 开路输出2输入端四与非缓冲器 7439 TTL 开路输出2输入端四与非缓冲器 7439 TTL 开路输出2输入端四与非缓冲器 7440 TTL 4输入端双与非缓冲器7440 TTL 4输入端双与非缓冲器7442 TTL BCD—十进制代码转换器 7442 TTL BCD—十进制代码转换器 7445 TTL BCD—十进制代码转换/驱动器 7446 TTL BCD—7段低有效译码/驱动器 7447 TTL BCD—7段高有效译码/驱动器 7448 TTL BCD—7段译码器/内部上拉输出驱动 7450 TTL 2-3/2-2输入端双与或非门 7451 TTL 2-3/2-2输入端双与或非门 7454 TTL 四路输入与或非门7455 TTL 4输入端二路输入与或非门 7473 TTL 带清除负触发双J-K 触发器 7474 TTL 带置位复位正触发双D触发器 7476 TTL 带预置清除双J-K触发器 7483 TTL 四位二进制快速进位全加器 7485 TTL 四位数字比较器7486 TTL 2输入端四异或门7490 TTL 可二/五分频十进制计数器 7493 TTL 可二/八分频二进制计数器 常用74系列标准数字电路的 中文名称资料 器件代号器件名称74 74LS 74HC 00 四2输入端与非门√ √ √ 01 四2输入端与非门(OC) √ √ 02 四2输入端或非门√ √ √ 03 四2输入端与非门(OC) √ √ 04 六反相器√ √ √ 05 六反相器(OC) √ √ 06 六高压输出反相器(OC,30V) √ √ 07 六高压输出缓冲,驱动器(OC,30V) √ √ √ 08 四2输入端与门√ √ √ 09 四2输入端与门(OC) √ √ √ 10 三3输入端与非门√ √ √ 11 三3输入端与门√ √ 12 三3输入端与非门(OC) √ √ √ 13 双4输入端与非门√ √ √ 14 六反相器√ √ √ 15 三3输入端与门(OC) √ √ 16 六高压输出反相器(OC,15V) √ 17 六高压输出缓冲,驱动器(OC,15V) √ 20 双4输入端与非门√ √ √ 21 双4输入端与门√ √ √ 22 双4输入端与非门(OC) √ √25 双4输入端或非门(有选通端) √ √ √ 26 四2输入端高压输出与非缓冲器√ √ √ 27 三3输入端或非门√ √ √ 28 四2输入端或非缓冲器√ √ √ 30 8输入端与非门√ √ √ 32 四2输入端或门√ √ √ 33 四2输入端或非缓冲器(OC) √ √ 37 四2输入端与非缓冲器√ √ 38 四2输入端与非缓冲器(OC) √ √ 40 双4输入端与非缓冲器√ √ √ 42 4线-10线译码器(BCD输入) √ √ 43 4线-10线译码器(余3码输入) √ 44 4线-10线译码器(余3葛莱码输入) √ 48 4线-7段译码器√ 49 4线-7段译码器√ 50 双2路2-2输入与或非门√ √ √ 51 2路3-3输入,2路2-2输入与或非门√ √ √ 52 4路2-3-2-2输入与或门√ 53 4路2-2-2-2输入与或非门√ 54 4路2-3-3-2输入与或非门√ √ 55 2路4-4输入与或非门√ 60 双4输入与扩展器√ √ 61 三3输入与扩展器√ 62 4路2-3-3-2输入与或扩展器√ 64 4路4-2-3-2输入与或非门√ 65 4路4-2-3-2输入与或非门(OC) √ 70 与门输入J-K触发器√ 71 与或门输入J-K触发器√ 72 与门输入J-K触发器√ 74 双上升沿D型触发器√ √78 双D型触发器√ √ 85 四位数值比较器√ 86 四2输入端异或门√ √ √ 87 4位二进制原码/反码√ 95 4位移位寄存器√ 101 与或门输入J-K触发器√102 与门输入J-K触发器√107 双主-从J-K触发器√108 双主-从J-K触发器√109 双主-从J-K触发器√110 与门输入J-K触发器√

相关主题