搜档网
当前位置:搜档网 › 串口扩展芯片选型和方案介绍

串口扩展芯片选型和方案介绍

串口扩展芯片选型和方案介绍
串口扩展芯片选型和方案介绍

RS-232多串口扩展器件SP2538及其应用

RS-232多串口扩展器件SP2538及其应用摘要:SP2538是采用低功耗CMOS工艺生产的专用串行口(RS232)扩展芯片,它可将单片机或DSP等原有的单UART串口扩展至5个全双工UART口,从而解决了此类器件串口太少的问题。文中介绍了SP2538的性能特点,引脚功能及应用方法,并以单片机为例给出了多串口扩展的硬件电路及相应的通信程序。关键词:RS-232;串口扩展;单片机;SP25381概述SP2538是专用低功耗串行口扩展芯片,该芯片主要是为解决当前基于UART串口通信的外围智能模块及器件较多,而单片机或DSP原有的UART串口又过少的问题而推出的。利用该器件可将现有单片机或DSP的单串口扩展至5个全双工串口。与其它具有多串口的单片机或串口扩展方案相比,采用SP2538实现的多串口扩展方案,具有扩展串口数量多、对扩展单片机的软硬件资源占用少、使用方法简单、待扩展串口可实现较高的波特率、成本低廉、性价比高等优点。同时,它还具有如下特点:●可将单UART串口扩展为5个UART串口;●工作速率范围宽,5个子串口可产生2400bps~9600bps之间的任意波特率;●可全双工工作,母串口和所有子串口都支持全双工UART传输模式;●工作电源电压范围宽:3.3V~5.5V;●典型工作电流为4.6mA(子串口速率为9600bps、VCC为3.3V时); ●资源占用少,除占用上位机原有串行口中断外,不占用任何中断资源;●具有节电模式,进入节电模式后,其典型静态电流约8μA;●上位机发送数据可自动唤醒;●输出误差小,所有子串口的输出波特率误差均小于0.08%;●误码率低于10-9(所有串行口数据输入波特率误差小于等于±2%);●接收范围宽,波特率误差小于2.5%时,子串口即可完全正确接收;母串口的接收范围更宽,并可自适应56000bps和57600bps两种标准波特率(fosc—in为20.0MHz时);●可用上位机进行监控,并具有上电复位和看门狗监控输出,适用于没有看门狗或需要更多重监控的高可靠上位机程序监控系统。2引脚功能SP2538具有双列直插DIP及双列贴片SOIC两种封装形式。后缀为SP2538xxH的复位时输出高电平而后缀为SP2538xxL的复位时输出低电平,可分别适用高、低电平复位的单片机。图1给出了DIP封装高电平复位SP2538DPH的外形及引脚排列图,各引脚的功能说明列于表1。[!--empirenews.page--]表1 SP2538引脚说明引脚名称引脚编号引脚类型引脚描述TX5~TX01、3、5、7、9、14Output 串口5~串口0数据发送(连接上位机RX口)RX5~RX02、4、6、8、10、13Input串口5~串口0数据接收(连接上位机TX口)VCC111- - -电源1(逻辑电路电源)+RST12Output复位控制输出(适用于高电平复位的MCU)VCC215- - -电源2(时钟电路电源)GND16- - -电源地OSCI17Input时钟输入(用于波特率发生器等)OSCO18Output时钟输出ADRI0~ADRI219~21Input母串口(RX5)数据接收地址0~2ADRO0~ADRO222~24Output母串口(RX5)数据发送地址0~23应用说明3.1母串口收发数据过程与时序(1)上位机接收来自母串口的数据上位机从母串口接收到一个字节数据后,会立即读取SP2538的输出地址ADRO2~ADRO0(编码方式为:8-4-2-1码),然后根据输出地址的编码即可判断接收到的数据来自哪个子串口,上位机接收来自母串口的数据时序如图2所示。(2)上位机向母串口发送数据发送数据时,上位机首先通过串口写入欲发送数据的子串口号,即先由上位机的串口发送数据地址ADRI2~ADRI0(编码方式:8-4-2-1码),然后将欲发送的数据由上位机串口发出。需要注意的是:母串口的波特率是子串口的6倍,即上位机在连续向母串口发送6个字节的时间内,子串口才能发送完一个字节。上位机向母串口发送数据的时序如图3所示。表2列出了SP2538的操作时限要求。表2 SP2538操作时限时限内容说明最小值典型值最大值Tpwr-up上电复位延时150ms……Treset芯片指令复位时间……50μsTwdt-rst看门狗溢出复位脉冲宽度80ms……Taddr-in数据接收地址保持时间10ns……Twake-up芯片唤醒延时……9msTaddr-hold数据发送地址保持时间(2/fosc-in)ms……Twdt-over看门狗溢出周期800ms……3.2其它说明母串口和所有子串口内部均具

USB转串口芯片介绍

pl2303原理应用 PL2303符合USB1.1标准,价格3RMB.

2 CP2102/CP2103简介 SiliconLaboratories公司推出的USB接口与RS232接口转换器CP2102/CP2103是一款高度集成的USB-UART桥接器,提供一个使用最小化元件和PCB空间来实现RS232转换USB的简便解决方案。如图1所示,CP2102/CP2103包含了一个USB功能控制器、USB收发器、振荡器和带有全部调制解调器控制信号的异步串行数据总线(UA RT), 采用5 mm×5 mm MLP-28的封装。 CP2102/CP2103作为USB/RS232双向转换器,一方面可以从丰机接收USB数据并将其转换为RS232信息流格式发送给外设;另一方面可从RS232外设接收数据转换为USB数据格式传送至主机,其中包括控制和握手信号。

2.1 USB功能控制器和收发器 2.2异步串行数据总线(UART)接口 CP2102/CP2103UART接口包括TX (发送)和RX(接收)数据信号以及RTS、CTS、DSR、DTR、DCD和RI控制信号。UART支持RTS/CTS、DSR/DTR和X-On /X-Off握手。 通过编程设置UART,支持各种数据格式和波特率。在PC机的COM端口编程设置UART的数据格式和波特率。表1 为其数据格式和波特率。 2.3 内部EEPROM CP2102/CP2103内部集成有1个EEPROM,用于存储由设备制造商定义的USB 供应商ID、产品ID、产品说明、电源参数、器件版本号和器件序列号等信息。USB配置数据的定义是可选的。如果EEPROM没有被OEM的数据占用,则采用表1所示的默认配置数据。注意,对于可能使用多个基于CP2102/CP2103连接到同一PC机的OEM应用来说,则需要专用的序列号。 内部EEPROM是通过USB编程设置的,允许OEM的USB配置数据和序列号可以在出厂和测试时直接写入系统板上的CP2102/CP2103。Cygnal提供了一个专门为C P2102/CP2103的内部EEP-ROM编程设置工具,同时还提供免费的驱动WindowsDLL格式的程序库。这个程序库可将。EEP-ROM编程步骤集成到OEM在制造中进行流水线式测试和序列号的管理的自定义软件中。EEP-ROM的写寿命的典型值为100000次,数据保持时间为100年。为了防止更改USB描述符,应将其锁定。 2.4其他功能 CP2103除上述功能外也可实现RS485接口与USB接口转换功能,CP2103支持4个可按照控制信息定义的GPIO引脚。 3 典型应用电路 3.1 硬件电路设计

串口扩展,uart扩展,串口扩展485接口

串口扩展方案 基于VK3366的串口扩展串口方案 二、技术领域 电子信息 通信工程 三、现有技术的技术方案 3.1 软件模拟法: 可根据串行通讯的传送格式,利用定时器和主机的I/O口来模拟串行通讯的时序,以达到扩展串口的目的。接收过程中需要检测起始位,这可以使用查询方式或者在端口具有中断功能的主机中也可以使用端口的中断进行处理。接收和发送过程中,对定时的处理既可以使用查询方式也可以使用定时器中断方式。为了确保数据的正确性,在接收过程中可以在检测异步传输的起始信号处加上一些防干扰处理,如果是无线传输系统,在接收每个位时可以采用多次采样。对于有线系统来说,1次采样就够了,软件模拟串口还是有它自身的一些不足,面临着采样速度比较慢,采样方式还是不如硬件采样方式准确,抗干扰能力也差很多。 3.2基于TL16C554的串行口扩展 TL16C554是TI公司生产的4通道异步收发器集成芯片。对TL16C554串行通道的控制,是通过对控制寄存器LCR、IER、DLL、DLM、MCR和FCR编程来实现的。这些控制字决定字符长度、停止位的个数、奇偶校验、波特率以及调制解调器接口。控制寄存器可以任意顺序写入,但是IER必须最后一个写入,因为它控制中断使能,如果后面还需要调整数据格式,波特率这些就比较困难,操作也不够灵活。串行通道内的波特率发生器(BRG)允许时钟除以1至65535之间的任意数,BRG根据其不同的三种通用频率中的一种来决定标准波特率。 3.3 基于GM8123/25系列芯片的串行口扩展 GM8123/25系列串口扩展芯片可以全硬件实现串口扩展,通讯格式可设置,并与标准串口通讯格式兼容。GM8125可扩展5个标准串口,通过外部引脚选

51单片机的串行口扩展方法

51单片机的串行口扩展方法 -------------------------------------------------------------------------------- 摘要:在以单片机为核心的多级分布式系统中,常常需要扩展单片机的串行通信口,本文分别介绍了基于SP2538专用串行口扩展芯片及Intel8251的两种串行口扩展方法,并给出了实际的硬件电路原理及相应的通信程序段。 关键词:串口扩展;单片机;SP2538;Intel8251 1 引言 在研究采场瓦斯积聚模拟试验台的过程中,笔者设计了主从式多机采控系统结构。主从式多机控制系统是实时控制系统中较为普遍的结构形式,它具有可靠性高,结构灵活等优点。当选用单串口51单片机构成这种主从式多机系统时,51单片机一方面可能要和主机Computer通信,一方面又要和下位机通信,这时就需要扩展串行通道。本文具体介绍了两种串行通道的扩展方法。 2 串行口的扩展方法 常用的标准51单片机内部仅含有一个可编程的全双工串行通信接口,具有UART的全部功能。该接口电路不仅能同时进行数据的发送和接收,也可作为一个同步移位寄存器使用。当以此类型单片机构成分布式多级应用系统时,器件本身的串口资源就不够用了。笔者在实际开发中,查阅了有关资料,总结出如下两种常用而有效的串行通道扩展方法。 2.1 基于SP2538的扩展方法 SP2538是专用低功耗串行口扩展芯片,该芯片主要是为解决当前基于UART串口通信的外围智能模块及器件较多,而单片机或DSP原有的UART串口又过少的问题而推出的。利用该器件可将现有单片机或DSP的单串口扩展至5个全双工串口。使用方法简单、高效。 在应用SP2538扩展串行通道时,母串口波特率K1=2880*Fosc_in,单位是MHz,且Fosc_in 小于20.0MHz, 在SP2538输入时钟Fosc_in =20.0MHz时母串口可自适应上位机的56000bps 和57600bps两种标准波特率输入。子串口波特率K2=480*Fosc_in。 母串口和所有子串口都是TTL电平接口,可直接匹配其他单片机或TTL数字电路,如需连接PC机则必须增加电平转换芯片如MAX202 、MAX232 等。SP2538具有内置的上电复位电路和可关闭的看门狗*电路。上位机写命令字0x10可实现喂狗,写命令字0x15关闭看门狗,初次上电后看门狗处于激活状态或写命令字0x20激活看门狗*功能。上位机可通过芯片复位指令0x35在任何时候让芯片进行指令复位,也可通过芯片睡眠指令0x55在任何时候让芯片进入微功耗睡眠模式以降低系统功耗。初次上电后芯片不会自行进入睡眠模式,但只能由上位机通过母串口任意发送一个字节数据将其唤醒,其他子串口不具备这一功能。 图(1)是AT89C52单片机与SP2538的电路连接,图中,AT89C52的全双工串口与SP2538的母串口5相连,该串口同时也作为命令/数据口。SP2538的ADRI0、ADRI1、ADRI2分别与AT89C52的P2.3、P2.4、P2.5口相连,可用于选择发送数据是选择相应的串口0~4;ADRO0、ADRO1、ADRO2与P2.0、P2.1、P2.2相连,用于判断接收的数据来自哪一个串口。SP2538的时钟频率选为20.0MHZ,此时母串口5的波特率为57600bps,串口0~4的波特率为9600bps。

UART多串口扩展器SP2349及其应用_王鹏

东北电力学院学报 第24卷第6期 Journal Of Northeast China Vol.24,No.6 2004年12月Institute Of Electric Power Engineering Dec.,2004 文章编号:1005-2992(2004)06-0071-03 UART多串口扩展器SP2349及其应用 王 鹏,佟 科,李贻涛 (东北电力学院电力工程,吉林吉林132012) 摘 要:为了满足数字化及智能化要求,目前以单片机为核心的智能化测控系统及电子产品应当具 备网络通信功能,而普通单片机仅有单个U AR T,远不能满足联网后同时和外部器件用U ART通信的 要求。SP2349是一种新颖的串口扩展器件,可将8位、16位、32位单片机的一个高波特率的UA RT扩 展为3个U ART,解决了普通单片机UA RT串口太少的问题,以很低的成本扩展了系统的功能。给出 该器件的主要特性、引脚说明及应用说明,并以A T89C52单片机为例给出多串口扩展应用电路及相应 程序框图。 关 键 词:串口扩展;单片机 中图分类号:T N492 文献标识码:A 当前,以单片机为核心构成的智能化测控系统及电子产品不断涌现,为了满足数字化及智能化要求,许多外围电路功能模块、部件、器件及传感器也具备了UART串口通信功能。而现阶段的8位、16位、32位单片机却大部分仅提供一个UART串口,这样就很难满足系统中一方面要与具有UART功能的串口部件通信,另一方面又要与上位机通信的要求。利用SP2349多串口扩展器,可很好地解决此问题。 1 工作特性 SP2349是采用低功耗CMOS工艺设计的UART多串口扩展芯片。该器件可将一个高波特率的UA RT串口扩展为3个较高波特率的UART串口,从而为系统需要多个串口时提供了很好的解决方案。该器件的主要特性如下: (1)不必购买学习新的开发工具利用原有仿真器和编程器即可; (2)使用简单采用独创的所见即所得的设置方法设置芯片的所有工作模式; (3)占用资源少不占用宝贵的外部中断资源仅复用已有的串口中断资源; (4)接口简单仅占用单片机4条输入/输出控制线I/O; (5)睡眠功能降低系统功耗提高系统抗干扰性能; (6)子串口波特率最高可达115.2kbps; (7)可由软件设置子串口波特率三个子串口波特率可独立软件设置; (8)可由软件关闭一个子串口另外一个子串口波特率可加倍; (9)可由软件设置为10位或11位数据格式用于奇偶效验或多机通信; (10)看门狗输出取代上位机外挂的看门狗监控IC大幅降低生产成本; (11)宽工作速率75Bps~115.2kbps; (12)可节电模式进入节电模式后典型静态电流约0.5μA; 收稿日期:2004-10-14 作者简介:王 鹏(1954-),男,东北电力学院电力工程系,高级工程师.

CD4052 单片机串行口扩展技术应用

CD4052 单片机串行口扩展技术应用 CD4052 是一种数字控制的模拟数据选择/ 分配器,本文将它用于UART串口扩展,解决了普通单片机UART串口太少的问题。文中给出该器件的主要特性、引脚说明及电气特性,并以A T89C51 单片机为例给出多串口扩展应用电路。 1 概述 当前,以单片机为核心构成的智能化测控系统及电子产品不断涌现,为了满足数字化及智能化要求,许多外围电路功能模块、部件、器件及传感器也具备了UART 串口通信功能,而现阶段的8 位、16 位、32位单片机却大部分仅提供一个UART 串口,这样就很难满足系统中一方面要与具有UART 功能的串口部件通信,另一方面又要与上位机通信的要求。利用CD4052 做多串口扩展器,可很好地解决此问题。 2 封装及引脚功能 该器件具有SOP、SOIC、TSSOP和PDIP四种封装形式,皆是16 个引脚。图1 所示为其PDIP封装引脚分布图,图2 是CD4052 的逻辑图,数字控制真值表见表1。 图1 CD4052PDIP引脚分布图 3 工作特性 CD4052 模拟数据选择/ 分配器是数字控制的模拟开关,具有低导通阻抗和非常低的关断泄漏电流。315V 的数字信号可以控制15VP- P 的模拟信号。例如,若VDD = 5V , VSS = 0V , VEE = - 5V ,则的数字信号可以控制- 5V + 5V 的模拟信号输入输出。数据选择器在整个VDDVSS 和VDDVEE 范围具有非常低的静态功耗,而且与控制信号的逻辑状态无关。 图2 CD4052 的逻辑图

表1 真值表 CD4052 是一个独立的4 通道数据选择器,具有二进制控制输入端A、B 和一个禁止输入INH。A、B的四种二进制组合状态用来在四对通道中选择其中的一对,当逻辑“1”加到INH 输入端时,所有的通道都关闭。CD4052 的器件特性为: 1) 宽范围的数字和模拟信号电平:数字315V ,模拟可达15VP- P。 2) 低导通阻抗:在VDD - VEE = 15V 的条件下,整个15V P - P信号输入范围的典型值为80Ω。

基于SPI的多串口扩展方案

基于SPI的多串口扩展方案(1扩8) 前言 随着电子技术的发展,以微处理器(MCU),PC机组成的主从分布式测控系统已成为当今复杂的测控系统的典型解决方案。单片机以其独特的串口通信功能为主,从设备之间的数据传输提供了便利。但是51系列单片机只提供了一个全双工的串行通信接口,这对于一个实时性要求较高,测控功能复杂的系统而言是一个美中不足之处。在我们设计的智能密集测控系统中,就需要测控工具有多个实时全双工的串行通信接口。我们现在只能是选择有多个串口的单片机或者是进行串口扩展,选择多串口的单片机肯定成本比较高,而且局限性比较大;那么最好的方案还是进行串口扩展。根据本人的对多款串口扩展芯片在性能、价格上的比较,个人认为维肯电子的VK3266这款串口扩展芯片还是相当不错的,下面我们就也VK3266来对单片机的串口进行扩展。以单片机的一个串口,扩展为4个全双工的串口。

1、系统原理框图 2、 硬件原理图 硬件原理框图介绍:本设计主要依赖的硬件是2块VK3224串口扩展芯片实现一个spi 接口扩展8个uart 接口,外部电路实现简单。主要是晶振电路,复位电路,spi 接口电路。

3、VK3224芯片介绍 1.产品概述 VK3224是SPI TM接口的4通道UART器件。VK3224实现SPI桥接/扩展4个串口(UART)的功能。 扩展的子通道的UART具备如下功能特点: 每个子通道UART的波特率、字长、校验格式可以独立设置,最高可以提供1Mbps的通信速率。 每个子通道可以独立设置工作在IrDA红外通信。 每个子通道具备收/发独立的16 BYTE FIFO,FIFO的中断为4级可编程条件触发点。 VK3224采用SOP20绿色环保的无铅封装,可以工作在2.5~5.5V的宽工作电压范围,具备可配置自动休眠/唤醒功能。 [注]:SPI TM为MOTOLORA公司的注册商标。 2.基本特性 2.1 总体特性 低功耗设计,可以配置自动休眠,自动唤醒模式 宽工作电压设计,工作电压为 2.5V~5.5V 精简的配置寄存器和控制字,操作简单可靠 提供工业级和商业级产品 高速CMOS工艺 采用符合绿色环保政策的SOP20无铅封装

用多路复用器扩展MCU串口

用多路复用器扩展MCU串口 多微控制器(MCU)/微机组成的分布式、主从式系统是现代复杂通信、控制系统的典型解决方案。分布式环境下的多机协同,要求系统状态和控制信息在多机间进行快速传递,这通常借助简单有效的串行通信方式。现有的微控制器一般所带的串行接口非常适用于点对点通信的场合;但对于实时性要求高的多机通信场合,这类接口必须在串口数量和功能上进行扩展,才能满足对实时性要求较高的应用场合的需要。 ?本文讨论了一种适用于多机实时环境下的、新的可重配置串口扩展方案。图1为本方案框图。多路复用器是本方案的硬件核心。方案的要点是利用Mux动态地将MCU的串口在串行通道间切换,以达到串口扩展的目的。本文中MCU 以89C51为例,Mux 以MAX353为例。 ?MAX353 是Maxim公司推出的高性能多路复用器,实际可构成两对单刀单掷模拟开关,两对开关状态由一个引脚控制。MAX353基本参数为导通电阻小于35Ω;导通时间小于175ns,关断时间小于145ns。以上参数完全满足本方案的使用要求。 ?以下介绍本串口扩展方案的基本工作原理。 ?两串行通道和MAX353、89C51的连接两串行通道CH1,CH2通过多路复用器MAX353接到89C51的串口,多路复用器MAX353由89C51的一个I/O引脚控制。其中串行通道CH2的输出TXD2同时接到89C51的外部中断输入请 求INT0或INT1上。为了适应各种串口通信协议的需要,可在电路中加上电平转换器件,如图1所示。 ?中断源的使用和设置CH1仍旧使用串口中断,而CH2使用外部中断INT0或INT1(下面以INT0为例)。当CH2有信息来时,TXD2上将出现起始标志:

51单片机串行口扩展法

51单片机串行口扩展法 1 引言 在研究采场瓦斯积聚模拟试验台的过程中,笔者设计了主从式多机采控系统结构。主从式多机控制系统是实时控制系统中较为普遍的结构形式,它具有可靠性高,结构灵活等优点。当选用单串口51单片机构成这种主从式多机系统时,51单片机一方面可能要和主机Computer通信,一方面又要和下位机通信,这时就需要扩展串行通道。本文具体介绍了两种串行通道的扩展方法。 2 串行口的扩展方法 常用的标准51单片机内部仅含有一个可编程的全双工串行通信接口,具有UART的全部功能。该接口电路不仅能同时进行数据的发送和接收,也可作为一个同步移位寄存器使用。当以此类型单片机构成分布式多级应用系统时,器件本身的串口资源就不够用了。笔者在实际开发中,查阅了有关资料,总结出如下两种常用而有效的串行通道扩展方法。 2.1 基于SP2538的扩展方法 SP2538是专用低功耗串行口扩展芯片,该芯片主要是为解决当前基于UART串口通信的外围智能模块及器件较多,而单片机或DSP原有的UART串口又过少的问题而推出的。利用该器件可将现有单片机或DSP的单串口扩展至5个全双工串口。使用方法简单、高效。 在应用SP2538扩展串行通道时,母串口波特率K1=2880*Fosc_in,单位是MHz,且Fosc_in 小于20.0MHz, 在SP2538输入时钟Fosc_in =20.0MHz时母串口可自适应上位机的56000bps 和57600bps两种标准波特率输入。子串口波特率K2=480*Fosc_in。 母串口和所有子串口都是TTL电平接口,可直接匹配其他单片机或TTL数字电路,如需连接PC机则必须增加电平转换芯片如MAX202 、MAX232 等。SP2538具有内置的上电复位电路和可关闭的看门狗监控电路。上位机写命令字0x10可实现喂狗,写命令字0x15关闭看门狗,初次上电后看门狗处于激活状态或写命令字0x20激活看门狗监控功能。上位机可通过芯片复位指令0x35在任何时候让芯片进行指令复位,也可通过芯片睡眠指令0x55在任何时候让芯片进入微功耗睡眠模式以降低系统功耗。初次上电后芯片不会自行进入睡眠模式,但只能由上位机通过母串口任意发送一个字节数据将其唤醒,其他子串口不具备这一功能。 图(1)是AT89C52单片机与SP2538的电路连接,图中,AT89C52的全双工串口与SP2538的母串口5相连,该串口同时也作为命令/数据口。SP2538的ADRI0、ADRI1、ADRI2分别与A T89C52的P2.3、P2.4、P2.5口相连,可用于选择发送数据是选择相应的串口0~4;ADRO0、ADRO1、ADRO2与P2.0、P2.1、P2.2相连,用于判断接收的数据来自哪一个串口。SP2538的时钟频率选为20.0MHZ,此时母串口5的波特率为57600bps,串口0~4的波特率为9600bps。 下面是与上述硬件电路相关的接口程序,该程序用A51汇编语言编制,程序仅说明了中断方式下对子串口0(TX0、RX0)的操作,其它子串口类似。 TBLOCK DATA 20H RBLOCK DATA 30H LENGTH DATA 14H … TXR_REV_SEND: CLR ES

AD9850芯片原理及使用方法总结

AD9850芯片原理及使用方法总结 DDS专用芯片电路广泛的应用于各个领域,其中以AD公司的产品比较有代表性。如AD7008、AD9850、AD9851、AD9852、AD9854、AD9858等。其系统时钟频率从30MHz到300MHz不等,其中的AD9858系统时钟更是达到了1GHz。这些芯片还具有调制功能,如AD7008可以产生正交调制信号,AD9852可以产生FSK(频移键控)、PSK(相移键控)、线性调频以及幅度调制的信号。这些芯片集成度高,内部都集成了D/A转换器,精度最高可达12bit,同时都采用了一些优化设计来提高性能。如这些芯片中大多采用了流水技术,通过流水技术的使用,提高了相位累加器的工作频率,从而使得DDS芯片的输出频率可以进一步提高。 一、AD9850简介 AD9850是美国AD公司采用先进的DDS技术,1996年推出的高集成度DDS频率合成器,采用CMOS工艺,其功耗在3. 3V供电时仅为155mW,扩展工业级温度范围为- 40~80℃,采用28脚SSOP表面封装形式。它内部包括可编程DDS系统、高性能DAC及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器。接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的模拟正弦波输出。此正弦波可以直接作为信号源输出或者送入AD9850的高速比较器从而得到方波输出。AD9850接口控制简单,可以用8位并行口或串行口直接输入频率、相位等控制数据。32位频率控制字,在125MHz时钟下,输出频率分辨率为0.029Hz,频率范围为0.1Hz~40MHz,幅值范围为0.2~1V。其引脚排列如图1所示,各引脚定义如下: D0~D7(4-1,28-25):控制字并行输入,给内部寄存器装入 40 位控制数据,其中D7可做串行输入 DGND(5、24):数字地 DVDD(6、23):为内部数字电路提供电源(3.3V或5V) W-CLK(7):控制字装入时钟,用于加载并行/串行的频率/相位控制字,上升沿有效 FQ-UD(8):频率更新控制信号,时钟上升沿确认输入数据有效 FREFCLOCK(9):外部参考时钟(有源晶振)输入, 最高125MHz AGND(10、19):模拟地 AVDD(11、18):为内部模拟电路提供电源(5V), 可与数字电源共用 Rset(12):外接电阻,决定器件输出电流大小, 典型值为3.9K QOUT(13):内部比较器正向输出端(方波) QOUT(14):内部比较器反向输出端(方波) VINN(15):内部比较器的负向输入端 VINP(16):内部比较器的正向输入端 DACBL(17):内部DAC外接参考电压端,可悬空 IOUTB(20):“互补”DAC 输出

串行口方式0扩展8位并行输入口

【技能训练5-2】串行口方式0扩展8位并行输入口 目的:串行口方式0 内容:利用串行口工作方式0扩展8位并行输入口,指拨开关状态经并转串芯片74LS165输入到单片机,再将其状态由P0口输出到发光二极管显示,如图5-7所示。 模仿型项目,参考文件:51-165.DSN。 图5-7串行口扩展8位输入口 汇编语言参考源程序: ;165.ASM;串行口方式0,扩展165输入一个字节 PL BIT P2.7 ORG 0000H LJMP START ORG 0100H START: MOV SCON,#10H ;方式0,允许串行口接收数据 START1: CLR PL ;PL=0,165读入并行数据 SETB PL ;PL=1,可以移位输出 WAIT: JNB RI,WAIT CLR RI ;清除接收中断标志 MOV A,SBUF ;读取数据 MOV P1,A ;接收到的数据送P1口显示 ACALL DELAY SJMP START1 DELAY: MOV R4,#00FH AA1: MOV R5,#0FFH AA: DJNZ R5,AA DJNZ R4,AA1 RET END C语言程序: /*利用串行口工作方式0扩展出8位并行输入口,指拨开关状态经并转串芯片74LS165输入到单片机,其状态并由P0口输出到发光二极管显示。查询*/ //===================声明区================================= #include //定义8051寄存器头文件 #include unsigned char i=0; sbit PL=P1^0; //===================主程序================================== main() // 主程序开始 {

串口扩展方案总结

串口扩展方案总结 串行接口设备凭借其控制灵活、接口简单、占用系统资源少等优点,被广泛应用于工业控制、家庭安防、GPS卫星定位导航以及水、电、气表的抄表等领域。在这些嵌入式系统中,可能会有很多从设备都通过串行接口与主机进行通信,如GPRS MODEM、红外发送和接收模块、RS485总线接口等。这使得开发人员常常面临嵌入式系统中主机串行通信接口不足的问题,针对此问题,本文介绍了几种常见的解决方法。 软件模拟法 软件模拟法可根据串行通讯的传送格式,利用定时器和主机的I/O口来模拟串行通讯的时序,以达到扩展串口的目的。接收过程中需要检测起始位,这可以使用查询方式,或者,在端口具有中断功能的主机中也可以使用端口的中断进行处理。接收和发送过程中,对定时的处理既可以使用查询方式也可以使用定时器中断方式。为了确保数据的正确性,在接收过程中可以在检测异步传输的起始信号处加上一些防干扰处理,如果是无线传输系统,在接收每个位时可以采用多次采样。对于有线系统来说,1次采样就够了,你看IIC,SPI等,谁去进行了多次采样。 如今软件模拟以其价格低廉,使用方便,已经成为一种潮流.但是不是所有的单片机都适合用来进行串口的软件模拟的.软件模拟的方法一般有两种,一种是读写I/O,另外一种是读写端口.很容易想到采用读写端口的方式模拟的方式,各串口的波特率必须保持一致.而且当各路数据的输入时间差只有那么几十微秒时,很容易造成数据丢失,虽然看上去这种方式也可以承受输入数据端短路的高数据量压力测试,但这种测试方法是刚好落在了该方案的最佳输入点上.所以真正的使用中是有几率出错的.而采用我们PDK80CXX系列在进行8路以下(4路全双工通讯)的串口模拟时,完全可以采用读写I/O口方式来完成,这样,我们可以非常轻松完成个子口的波特率不等的设置.而且可以达到非常高的速率,当外接8MHz的晶体时,3路子口的最高速度可以达到38400以上.我想就是38400的波特率一般的单片机也就足够了.俗话说,"打铁还需墩子硬",而我们PDK80CXX都是工业规格设计,超强的抗干扰性,超宽的高低温工作范围.不知道各位看官目前有没有用过可以在-40~+120摄氏度工作的单片机.所以采用PDK80CXX模拟串口扩展无疑是目前性价比最高的一种解决方案. 利用并口转串口扩展串行口 基于Intel8251的串行口扩展 Intel8251是一种通用的同步/异步发送器(USART),它的工作方式可以通过编程设置,并具有独立的接收/发送器。能以同步或异步串行通信方式工作,自动完成帧格式,具有奇、偶校验和错误检测电路。 基于TL16C554的串行口扩展 TL16C554是TI公司生产的4通道异步收发器集成芯片。对TL16C554串行通道的控制,是通过对控制寄存器LCR、IER、DLL、DLM、MCR和FCR编程来实现的。这些控制字决定字符长度、停止位的个数、奇偶校验、波特率以及调制解调器接口。控制寄存器可以任意顺序写入,但是IER必须最后一个写入,因为它控制中断使能。串行通道内的波特率发生器(BRG)允许时钟除以1至65535之间的任意数,BRG根据其不同的三种通用频率中的一种来决定标准波特率。 16C55x系列芯片还包括16C550、16C552,分别可以扩展1个和2个串行口。 利用串行口扩展串行口 基于GM8123/25系列芯片的串行口扩展 GM8123/25系列串口扩展芯片可以全硬件实现串口扩展,通讯格式可设置,并与标准串口通讯格式兼容。

常用USB转串口芯片介绍

常用USB转串口芯片介绍2009年08月18日星期二 16:05 2009-06-25 08:08 原理应用pl2303 3RMB. 标准,价格USB1.1符合PL2303. 2 CP2102CP2103/简介桥接器,提供一个使用最小化元USB-UART CP2103是一款高度集成的RS232接口转换器CP2102/公司推出的Silicon LaboratoriesUSB 接口与收发器、振荡器和带有全部调功能控制器、USB/CP2103包含了一个USBUSBPCB空间来实现RS232转换的简便解决方案。如图1所示,CP2102件和

-28的封装。mm×5 mm MLP5 制解调器控制信号的异步串行数据总线(UART),采用 信息流格式发送给外设;另一方面可从RS232双向转换器,一方面可以从丰机接收USB数据并将其转换为作为CP2102/CP2103USB/RS232 数据格式传送至主机,其中包括控制和握手信号。 RS232外设接收数据转换为USB 2.1 USB功能控制器和收发器 接口异步串行数据总线(UART) 2.2 CP2102/CP2103UART接口包括TX (发送)和RX(接收)数据信号以及RTS、CTS、DSR、DTR、DCD和RI控制信号。UART支持RTS/CTS、DSR/DTR和X-On/X-Off 握手。 通过编程设置UART,支持各种数据格式和波特率。在PC机的COM端口编程设置UART的数据格式和波特率。表1为其数据格式和波特率。 EEPROM内部2.3 、产品说明、电源参数、器件版本号和器件内部集成有ID1USB个供应商CP2102/EEPROMCP2103、产品,用于存储由设备制造商定义的ID所示的默认配置数据。注意,对于可能使用多个基1EEPROM没有被OEM的数据占用,则采用表序列号等信息。USB配置数据的定义是可选的。如果应用来说,则需要专用的序列号。

通用异步串口扩展芯片GM8123

通用异步串口扩展芯片GM8123/25的原理和应用 摘要本文介绍了一种新器件GM8123/25串口扩展芯片,利用该系列芯片实现的串口扩展方案具有成本低、速度快、控制简单等优点,可广泛应用于数据采集、工业控制等需要串口通讯的场合。 关键词 GM8123 GM8125 串口扩展 一.同类方案比较 目前比较通用的串口扩展方案有两种,一是用硬件实现,使用多串口单片机或专用串口扩展芯片,可供选择的串口扩展芯片有TI等公司开发的16C554系列串口扩展芯片,该系列芯片实现的功能是通过并行口扩展串行口,功能比较强大、通讯速度高,但控制复杂,同时价格较高,主要的应用场合是PC机串口扩展产品。在仅使用单片机控制且不需要太高波特率通讯的系统中,使用16C554系列芯片不仅成本高而且还造成了资源的浪费。而多串口单片机也同样存在价格高的缺点。另一种串口扩展方案就是用软件实现,软件模拟串口存在的缺点有:一是采样次数低,一般只能做到2次/BIT,这样数据的正确性就难以保证;二是不能实现高波特率通讯,软件模拟串口一般不能实现高于4800 bps的波特率。 成都国腾微电子有限公司推出的GM8123/25系列串口扩展芯片全硬件实现串口扩展,保证了芯片工作的稳定性,设计的最高波特率完全能满足一般系统需求,同时占用系统资源少,使用方法简单,通讯格式可设置,与标准串口通讯格式兼容,利用该系列芯片实现串口扩展是性价比较高的串口扩展方案。 二.GM8123/25介绍 2.1 产品特点 ·采用写控制字的方式对芯片进行控制 ·两种工作模式,用户可根据自己的系统需求灵活选择 ·各子串口波特率可调(统一调节) ·数据帧长10位或11位可选 ·子串口数:3个(GM8123)或5个(GM8125) ·数据采样率 16次/BIT,确保数据采样的准确可靠 ·单通道模式下,最高波特率支持20Mbps;多通道模式下,子串口最高波特率38400bps ·与标准串口通讯格式兼容

串行口的扩展及74LS164的应用

串行口扩展应用 摘要:本文主要是单片机串行口在方式0下发送数据,外接一片8位串行输入并行输出的同步移位芯片——74LS164。通过开关控制相应的LED灯亮灭。 关键字:单片机74LS164 串行口 74LS164介绍: 8 位移位寄存器(串行输入,并行输出) 电特性的典型值如下: 型号fm Pn 54/74164 36MHz 185mW 54/74LS164 36 MHz 80mW 当清除端(CLEAR)为低电平时,输出端(QA-QH)均为低电平。 串行数据输入端(A,B)可控制数据。当A、B 任意一个为低电平,则禁 止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0 为低电平。当A、B 有一个为高电平,则另一个就允许输入数据,并在CLOCK 上升沿作用下决定 Q0 的状态。 引出端符号 CLOCK 时钟输入端 CLEAR 同步清除输入端(低电平有效) A,B 串行数据输入端 QA-QH 输出端极限值 电源电压………………………………… 7V 输入电压………………………………… 5.5V 工作环境温度 54LS164…………………………………… -55~125℃ 74LS164…………………………………… -0~70℃ 储存温度…………………………………… -65℃~150℃ 74LS164是八位并出串行移位寄存器.功能是将数据串行移入,并行输 出.P!4=VCC,P7=GND. P1=A,P2=B,两脚是数据串行移入口. P8=时钟. P9=清除. P3=QA,P4=QB,P5=QC,P6=QD,P10=QE,P11=QF,P12=QG,P13=QH是并行输出口. 下图为74LS164与数码管的连接图。

RS_232多串口扩展器件SP2538及其应用

-43- RS-232多串口扩展器件SP2538及其应用 李敏,孟臣 (黑龙江八一农垦大学信息技术学院,黑龙江大庆163319) 摘要:SP2538是采用低功耗CM OS工艺生产的专用串行口(RS232)扩展芯片,它可将单片机或DSP 等原有的单UART串口扩展至5个全双工UART口,从而解决了此类器件串口太少的问题。文中介绍了SP2538的性能特点,引脚功能及应用方法,并以单片机为例给出了多串口扩展的硬件电路及相应的通信程序。 关键词:RS-232;串口扩展;单片机;SP2538 分类号:T P334.4文献标识码:A文章编号:1006-6977(2004)04-0043-04 R S-232Multi-serial I nterfaces Ex p andin g Chi p SP2538and Its A pp lication LI M in,MENG Chen (Colle g e o f In f ormation technolo gy o f H eilon gj ian g au g ust f ir st land r eclamation univ er sit y,H eilon gj ian g,163319) Abstract:SP2538is an ex p ert serial interface ex p andin g chi p based on low p ow er CM OS techni q ue.It can ex2 p and sin g le UART serial interface inhered b y M icrocontrollers or DSP into five du p lex UART.S e q uentiall y,the p roblem which the serial interfaces of these kinds chi p are too sm all is solved.In the p a p er,the p erform ance characteristics,di p function and a pp lication m ethods are introduced,and the multi-serial interface hardw are circuit and the corres p ondin g communication p ro g ram based on M icrocontrollers are g iven. K e y w ords:RS-232;serial-ex p andin g;M icrocontrollers;SP2538 1概述 SP2538是专用低功耗串行口扩展芯片,该芯片主要是为解决当前基于UART串口通信的外围智能模块及器件较多,而单片机或DSP原有的UART串口又过少的问题而推出的。利用该器件可将现有单片机或DSP的单串口扩展至5个全双工串口。与其它具有多串口的单片机或串口扩展方案相比,采用SP2538实现的多串口扩展方案,具有扩展串口数量多、对扩展单片机的软硬件资源占用少、使用方法简单、待扩展串口可实现较高的波特率、成本低廉、性价比高等优点。同时,它还具有如下特点: ●可将单UART串口扩展为5个UART串口; ●工作速率范围宽,5个子串口可产生2400b p s~9600b p s之间的任意波特率; ●可全双工工作,母串口和所有子串口都支持全双工UART传输模式; ●工作电源电压范围宽:3.3V~5.5V; ●典型工作电流为 4.6m A(子串口速率为9600b p s、VCC为3.3V时); ●资源占用少,除占用上位机原有串行口中断外,不占用任何中断资源; ●具有节电模式,进入节电模式后,其典型静态电流约8μA; ●上位机发送数据可自动唤醒; ●输出误差小,所有子串口的输出波特率误差均小于0.08%; ●误码率低于10-9(所有串行口数据输入波特率误差小于等于±2%); ●接收范围宽,波特率误差小于2.5%时,子串口即可完全正确接收;母串口的接收范围更宽,并可自适应56000b p s和57600b p s两种标准波特率(f osc—in 为20.0MH z时); ●可用上位机进行监控,并具有上电复位和看门狗监控输出,适用于没有看门狗或需要更多重监控的高可靠上位机程序监控系统。 ●新特器件应用 RS-232多串口扩展器件SP2538及其应用

相关主题