搜档网
当前位置:搜档网 › eda技术电子教案

eda技术电子教案

eda技术电子教案
eda技术电子教案

2.1 逻辑门电路和触发器

数字电路可以分为组合逻辑电路和时序逻辑电路两类:组合逻辑电路的特点是任何时刻的输出信号仅仅取决于输入信号,而与信号作用前的电路原有状态无关。在电路结构上单纯由逻辑门构成,没有反馈电路,也不含有存储元件。时序逻辑电路在任何时刻的稳定输出,不仅取决于当前的输入状态,而且还与电路的前一个输出状态有关。时序逻辑电路主要由触发器构成,而触发器的基本元件是逻辑门电路,因此,不论是简单还是复杂的数字电路系统都是由基本逻辑门电路构成的。

2.1.1 逻辑门电路

数字系统的所有逻辑关系都是由与、或、非三种基本逻辑关系的不同组合构成。能够实现逻辑关系的电路称为逻辑门电路,常用的门电路有与门、或门、非门、与非门、或非门、三态门和异或门等。逻辑电路的输入和输出信号只有高电平和低电平两种状态:用1表示高电平、用0表示低电平的情况称为正逻辑;反之,用0表示高电平、用1表示低电平的情况称为负逻辑(本书采用正逻辑)。在数字电路中,只要能明确区分高电平和低电平两种状态就可以了,高电平和低电平都允许有一定范围的误差,因此数字电路对元器件参数的精度要求比模拟电路要低一些,其抗干扰能力要比模拟电路强。

1.与门

当决定某个事件的全部条件都具备时,该事件才会发生,这种因果关系称为与逻辑关系。实现与逻辑关系的电路称为与门。与门可以有两个或两个以上的输入端口以及一个输出端口,输入和输出按照与逻辑关系可以表示为:当任何一个或一个以上的输入端口为0时,输出为0;只有所有的输入端口均为1时,输出才为1。

组合逻辑电路的输入和输出关系可以用逻辑函数来表示,通常有真值表、逻辑表达式、逻辑图和波形图四种表示方式。真值表是根据给定的逻辑关系,把输入逻辑变量各种可能取值的组合与对应的输出函数值排列成表格。它表示了逻辑函数与逻辑变量各种取值之间的一一对应的关系,逻辑函数的真值表具有唯一性,若两个逻辑函数具有相同的真值表,则两个逻辑函数必然相等。当逻辑函数有n个变量时,共有2n个不同的变量取值组合。用真值表表示逻辑函数的优点是直观、明了,可直接看出逻辑函数值和变量取值之间的关系。

从与门的逻辑关系上可以看出,如果输入端A作为控制端,则A的值将会决定输入端B的值是否能被输出到端口Y。例如

A=1时,则Y=B,B被输出;但若A=0时,则不管B的状态如何,Y都等于0。

2.或门

决定某一事件的所有条件中,只要有一个条件或几个条件具备时,这一事件就会发生,这样的因果关系称为或逻辑。实现或逻辑关系的电路称为或门。或门的输入和输出按照或逻辑关系可以表示为:如有任何一个或一个以上的输入端口为1时,输出为1;当所有的输入端口都为0时,输出才为0。

3.非门

决定某事件的条件不具备时,该事件却发生;条件具备时,事件却不发生。这种互相否定的因果关系称为非逻辑,实现非逻辑关系的电路称为非门。非门只有一个输入端和一个输出端,输出端的值与输入端的值相反,可以用反相器电路实现,因此非门又称为“反相器”。

4.与非门

与非门有两个或两个以上的输入端和一个输出端。当任何一个或一个以上的输入端为0时,则输出为1;当所有的输入端均为1时,则输出为0。

从与非门的逻辑关系上可以看出,利用输入端A的值来控制输入端口B的值是否输出至输出端口Y。当A=1时,B

Y=(输入信号被反相输出);但A=0时,则不管B的值是什么,Y都为1,即将B信号屏蔽掉。

5.或非门

或非门可以有两个或两个以上的输入端和一个输出端。当所有的输入端都为0时,输出为1;如有任何一个或一个以上的输入端为1时,则输出为0。

可以利用或非门的输入端A来控制输入端B。当A=0时,Y=(输入信号被反相输出);当A=1时,则不管B的值是什B

么,Y都为0。

6.异或门

异或门可以有两个或两个以上的输入端和一个输出端。当逻辑值为1的输入端个数是奇数时,输出为1;当逻辑值为1的输入端个数是偶数时,输出为0。

7.同或门

同或门可以有两个或两个以上的输入端和一个输出端。与异或门刚好相反,当逻辑值为1的输入端的个数是奇数时,输出为0;当逻辑值为1的输入端的个数是偶数(包括零)时,则输出为1。

2.1.2 触发器

触发器是数字系统中除逻辑门以外的另一类基本单元电路,有两个基本特性:一个是具有两个稳定状态,可分别用来表示二进制数码0和1。另一个是可以在输入时钟脉冲信号的作用下,两个稳定状态可相互转换,能够完成计数功能;当输入时钟脉冲信号消失或保持不变时,触发器的输出状态也保持不变,这就是记忆功能,可用作二进制数据的存储单元。触发器是构成时序逻辑电路的基本电路,有多种分类方式:根据逻辑功能的不同,触发器可分为RS触发器、D触发器、JK触发器、T触发器和T 触发器等;根据触发方式的不同,触发器可分为电平触发器、边沿触发器和主从触发器等;根据电路结构的不同,触发器可分为基本RS触发器、同步RS触发器、维持阻塞触发器、主从触发器和边沿触发器等。但从电路的组成单元上看,所有的触发器都是由基本RS触发器和逻辑门电路构成,而基本RS触发器又可以用两个或非门(或者两个与非门)组成。因此,可以认为触发器是由多个基本逻辑门电路组成。

触发器有一个时钟脉冲(用CP表示)输入端、一个或多个输入端和两个互补输出端(分别用Q和Q表示)。通常用Q端的输出状态来表示触发器的状态,当Q=1、Q=0时,称为触发器的1状态,记Q=1;当Q=0、Q=1时,称为触发器的0状态,记Q=0。这两个状态和二进制数码的1和0对应。由于触发器属于时序逻辑电路,所以其输出状态不但与输入信号有关,还与当前的输出状态有关。为了描述这种现象,引入现态和次态两个名词:现态是指触发器在输入信号变化之前的状态,用Q n表示;次态是指触发器在输入信号变化后,在输入信号和现态共同作用下所形成的状态,用Q n+1表示。触发器的逻辑功能主要用状态表、特性方程、驱动表和波形图(又称时序图)来描述。

含有触发器的逻辑电路称为时序逻辑电路。时序逻辑电路根据电路状态转换情况的不同,可分为同步时序逻辑电路和异步时序逻辑电路两大类。在同步时序逻辑电路中,所有触发器的时钟输入端CP都连在一起,在同一个时钟脉冲CP作用下,凡是具备翻转条件的触发器在同一时刻状态同时翻转。也就是说,触发器状态的更新和时钟脉冲CP是同步的。而在异步时序逻辑电路中,时钟脉冲只触发部分触发器,其余触发器则是由电路内部信号触发的。因此,具备翻转条件的触发器状态翻转有先有后,并不是和时钟脉冲CP同步。

在众多的触发器中,边沿触发器只在时钟脉冲CP上升沿(或

下降沿)时刻接受输入信号,电路状态才发生翻转,其余情况则保持原状态不变,从而能够提高触发器工作的可靠性和抗干扰能力,没有空翻现象。由于边沿触发器的应用非常广泛,所以本章以边沿触发器为例讲解。边沿触发器主要有维持阻塞D触发器和边沿JK触发器。

1.维持阻塞D触发器

在时钟脉冲CP的作用下,根据输入信号D取值的不同,输出状态随D而变化的电路称为D触发器。维持阻塞D触发器是利用时钟脉冲CP的上升沿(或下降沿)进行触发的,而且电路总是翻转到和D相同的状态。

2.边沿JK触发器

在时钟脉冲CP的作用下,根据输入信号J、K取值的不同,凡是具有置0、置1、计数和保持功能的电路,都叫JK触发器。边沿JK触发器是利用时钟脉冲CP的上升沿(或下降沿)进行触发的。

2.2 逻辑代数

逻辑代数是研究逻辑电路的基本工具,是描述输入逻辑变量和输出函数之间关系的数学表达式。逻辑代数又称为开关代数或布尔代数,是由英国数学家乔治·布尔(George Boole)于19世纪中叶首先提出的用于描述客观事物逻辑关系的数学方法,主要应用于继电器开关电路的分析与设计上。经过不断的完善和发展后,被用于数字逻辑电路和数字系统中,成为逻辑电路分析和设计的有力工具。逻辑代数与普通代数相似之处在于它们都是用字母表示变量,用代数式描述客观事物间的关系,但不同的是,逻辑代数是描述客观事物间的逻辑关系,逻辑函数表达式中的逻辑变量的取值和逻辑函数值都只有两个值,即0和1。这两个值不具有数量大小的意义,仅表示客观事物两种相反的状态。如开关的闭合与断开、晶体管的饱和导通与截止、电位的高与低、事件的真与假等。因此,逻辑代数有其自身独立的规律和运算法则,而不同于普通代数。

2.2.1 逻辑代数的基本公式与定律

基本的逻辑关系有与、或和非三种,与之对应的逻辑运算为与运算(逻辑乘)、或运算(逻辑加)、非运算(逻辑取反)。逻辑代数的基本公式是一些不需要证明的、直观可以直接使用的恒等式。它们是逻辑代数的基础,利用这些基本公式可以化简逻辑函数,还可以用来证明一些基本定律。

1.逻辑代数的基本公式

逻辑常量只有0和1两种取值,代表两种状态(0代表低电平、1代表高电平)、设A为逻辑变量。对于常量与常量、常量与变量、变量与变量之间的基本逻辑运算。

2.逻辑代数的基本定律

逻辑代数的基本定律是分析、设计逻辑电路,化简和变换逻辑函数式的重要工具。这些定律有其独特的特性,但也有一些和普通代数相似,因此要严格区分,不能混淆。

2.2.2 逻辑代数的化简方法

进行逻辑设计时,根据逻辑问题归纳出来的逻辑函数式往往不是最简逻辑函数式,并且可以有不同的形式,因此,实现这些逻辑函数就会有不同的逻辑电路。对逻辑函数进行化简和变换,可以得到最简的逻辑函数式或所需要的其他形式,设计出简洁的逻辑电路。这对于节省元器件,优化生产工艺,降低成本和提高系统的可靠性,提高产品在市场的竞争力是非常重要的。

不同形式的逻辑函数式有不同的最简形式,而这些逻辑表达式的繁简程度又相差很大,但大多都可以根据最简与-或式变换得到,因此,这里只介绍最简与-或式的标准和化简方法。最简与-或式的标准有两条:一个是逻辑函数式中的乘积项(与项)的个数最少;另一个是每个乘积项中的变量数量最少。下面介绍几种基本的公式法化简方法:

1.并项法

运用基本公式A+1

A ,将两项合并为一项,同时消去一个变量。

2.吸收法

运用吸收律A+AB=A和AB+A C+BC=AB+A C,消去多余的与项。

3.消去法

运用吸收律A+A B=A+B,消去多余因子。

4.配项法

在不能直接运用公式、定律化简时,可通过与等于1的项相乘或与等于0的项相加,再进行配项后再化简。

公式法化简逻辑函数的优点是简单方便,对逻辑函数式中的

变量个数没有限制,它适用于变量较多,较复杂的逻辑函数的化简。它的缺点是需要熟练掌握和灵活运用逻辑代数的基本定律和基本公式,而且还需要有一定的化简技巧。另外,公式法化简也不易判断所得到的逻辑函数是不是最简式。只有通过多做练习,积累经验,才能做到熟能生巧,较好地掌握公式法化简方法。

总结

EDA技术课程设计报告

课程设计任务书设计题目1:数码管显示数字钟设计

院(系)分管领导:教研室主任:指导教师: 2017年6月23日

目录 第1章引言 0 第2章电路原理 0 第3章程序设计 (1) 顶层模块设计 (2) 时钟分频模块设计 (2) 按键驱动模块设计 (2) 时钟计数模块设计 (3) 整点报时模块 (4) LED灯花样显示模块 (5) 数码管显示模块设计 (5) 第4章调试、测试分析及结果 (7)

调试 (7) 测试分析 (7) 结果 (9) 第5章小结 (10) 参考文献 (11) 附录电路图及程序 (11)

第1章引言 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL 或者Verilog HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 此次课程设计我们运用QuartusⅡ这个软件,使用Verilog HDL语言进行编程。 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。 本次课程设计要求利用EDA技术,设计一个数码管显示数字钟的样品,数字钟要求具备以下功能: 1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 第2章电路原理 数码管显示数字钟设计,运用到8位数码管,要求其中6位数码管动态显示,分别显示时、分、秒的计数,同时对时间进行设置,数字钟的总体功能按照要求可以分为基本的数字时钟显示(具有时、分、秒,计数显示功能,以24小时循环计时)、手动校准(具有清零,调节小时、分钟功能)、整点报时、LED灯花样显示

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

EDA技术实践课程设计--24进制计数器课件

东北石油大学EDA技术实践课程设计 课程EDA技术实践课程设计 题目24进制计数器 院系电气信息工程学院电气系 专业班级 学生姓名 学生学号 指导教师 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

EDA技术实践课程设计--24进制计数器

E D A技术实践课程设计 --24进制计数器 -CAL-FENGHAI.-(YICAI)-Company One1

东北石油大学EDA技术实践课程设计 年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目 24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年 7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

EDA技术课程设计报告

课程设计任务书 设计题目1:数码管显示数字钟设计 学生姓名 课程名称EDA技术课程设计专业班级 地点起止时间2017年6月19日— 6月23日 设 计 内 容 硬件设计及样品制作 设计参数1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 设计进度1.2017年6月19日—20日查阅资料,确定设计方案 2.2017年6月21日—22日程序设计和硬件调试 3.2017年6月23日撰写课程设计报告,答辩 设计成果1. 设计说明书一份(不少于2000字); 2. 样品一套。 参考资料1.周润景等,基于Quartus II的FPGA/CPLD数字系统设计实例,电子工业 出版社,2010 2.夏宇闻,Verilog数字系统设计教程(第二版),北京航空航天大学出版 社,2014 说明1.本表应在每次实施前由指导教师填写一式2份,审批后所在系(部)和指导教师各留1份。2.多名学生共用一题的,在设计内容、参数、要求等方面应有所区别。3.若填写内容较多可另纸附后。 院(系)分管领导:教研室主任:指导教师: 2017年 6月 23日

EDA技术课程设计报告 目录 第1章引言 (1) 第2章电路原理 (2) 第3章程序设计 (3) 3.1 顶层模块设计 (3) 3.2 时钟分频模块设计 (4) 3.3 按键驱动模块设计 (4) 3.4 时钟计数模块设计 (4) 3.5 整点报时模块 (5) 3.6 LED灯花样显示模块 (7) 3.7 数码管显示模块设计 (7) 第4章调试、测试分析及结果 (10) 4.1 调试 (10) 4.2 测试分析 (10) 4.3 结果 (12) 第5章小结 (13) 参考文献 (14) 附录电路图及程序 (15)

EDA技术课程设计题目与任务

五课程设计题目与内容 1. 电子秒表设计 内容及要求: 完成具有多计数功能的秒表,并可将结果逐一显示在7 段数码管上,具体要求如下: (1)输入时钟10khz ,采用Altera EP1C6Q240C8 FPGA ; (2)异步、同步复位,计时精度1ms,最大计时240秒; (3)至少对 6 个目标计时,并可显示于7 段数码管,格式为xxx.yyy ,秒为单位; ( 4)计时值可逐一顺序回显; ( 5)按下一次终止键完成一个对象的计时,计时间隔小于最大计时值; ( 6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。 进度安排: 本设计持续10天,其中最后一天(依例周五)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; 第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现

2. aval on 总线从接口设计 内容及要求: 实现Altera 的NiosII CPU 外部总线接口电路,完成存储器的读写操作。 (1) CPU采用Altera Nios n; ( 2)接口电路采用同步操作,注意时钟的选择; ( 3)寻址空间0x8000~0x8ffff ,数据总线宽度8 比特; ( 4)存储器的种类为寄存器即可,具有读写功能; ( 5) avalon 总线接口为slave ; (6)下载验证时要和CPU一同实现相应的功能,软件进行读写操作。 进度安排: 本课程设计持续10 天,其中最后一天( (依例周5)为答辩时间。 第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7 天:完成模块电路设计,进行代码输入,并完成代码的仿真; 第8-9天:约束设计,与CPU集成综合、下载,用c语言实现操作,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10 天:验收合格后进行答辩。 选题:限 2 人:共同进行电路和验证方案设计, 1 人逻辑电路设计与仿真,另1人系统集成及软件代码设计

跑马灯设计方案EDA课程设计方案

第一章设计内容与设计方案 1.1课程设计内容 控制8个LED进行花样性显示。 设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。 4种模式循环切换,复位键(rst)控制系统的运行停止。数码管显示模式编号。 可预置彩灯变换速度,4档快、稍快、中速、慢速,默认工作为中速。 1.2设计方案 在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,以及平时实验的具体操作内容,利用硬件描述语言HDL,EDA软件QuartusⅡ和硬件平台cycloneⅡFPGA进行一个简单的电子系统设计,本次课程设计采用Verilog HDL硬件描述语言编写控制程序,应用Quartus Ⅱ软件实现仿真测试。采用FPGA芯片对LED灯进行控制,使其达到流水跑马灯显示的效果,LED灯采用共阳极接法,当给它一个低电平时,LED点亮,我们利用移位寄存器使各输出口循环输出高低电平,达到控制的目的。

2.1设计原理及设计流程 本次试验我所完成的内容是跑马灯的设计,下面我简单的进行一下原理的阐述。 跑马灯课程设计的要求是控制8个LED进行花样显示,设计四种显示模块:第一种显示是从左向右逐个点亮LED。第二种显示:从右向左逐个点亮LED。第三种显示:从两边向中间逐个点亮LED。第四种显示:从中间到两边逐个点亮LED。四种显示模式循环切换,并带有一位复位键控制系统的运行停止。为了完成要求的效果显示,由于要求比较简单,所以不用分为很多模块来具体控制,所以我先择利用移位寄存器来完成灯的点亮,我们将LED灯采用共阳极接法,当给于低电平时点亮,那么当我们需要点亮某位LED灯时,只需在该位上赋予低电平即可,比如:如果我们要实现8个数码灯从左到右依次点亮,那么我们就可以给这8个数码灯分别赋值10000000,经过一段时间的延时后再给其赋值01000000,再经过一段时间延时后再给其赋值00100000,依次类推,则最后一种赋值状态为00000001,这样就得到了相应的现象。同理,要实现数码灯从右向左依次点亮,从中间向两端依次点亮,从两端向中间依次点亮都可以采用这样赋值的方法。为了达到四种显示模式循环切换的目的,可以将以上的所有赋值语句以顺序语句的形式置于进程中,这样在完成了一种显示方式后就会自动进入下一种设定好的显示模式,如此反复循环。当需要程序复位时,只需按下rst键即可,程序不管走都那里,执行那条语句,只要确定复位键按下时,程序立刻返回到程序执行语句的第一步,程序接着进行新的循环点亮。

EDA技术课程设计之六位频率计的设计

目录 一概述............................................................................................................................................. - 1 - (一)设计背景及意义 (1) (二)设计任务与要求 (1) 二六位频率计的工作原理............................................................................................................. - 1 -三六位频率计的设计与仿真......................................................................................................... - 2 - (一)六位十进制频率计的设计与仿真 (2) (二)六位十六进制频率计的设计与仿真 (5) 四调试过程、测试结果及分析 ..................................................................................................... - 8 - (一)六位十进制频率计的测试结果与分析 (8) (二)六位十进制频率计扩展功能的测试结果与分析 (9) (三)六位十六进制频率计的测试结果与分析 (10) 五课程设计体会........................................................................................................................... - 11 -六参考文献................................................................................................................................... - 11 -

EDA技术课程设计任务书2015

课程设计(论文)任务书 电气与电子工程学院电子信息工程专业2013- 2班 一、课程设计(论文)题目数字频率计 二、课程设计(论文)工作自 2015 年12 月28 日起至2016 年1 月 8 日止。 三、课程设计(论文) 地点: 电子测控实验室 四、课程设计(论文)内容要求: 1)课程设计任务: 1:设计一个能测量方波信号的频率的频率计。 2:测量的频率范围是0~999999 hz。 3: 测量结果用十进制数显示。 4: 量程自动切换,超限报警。 2)课程设计论文编写要求 (1)课程设计任务及要求 (2)总体电路设计 (3)模块设计---给出各个模块的详细设计思路 (4)管脚绑定---列表或截图给出管脚绑定情况 (5)仿真及FPGA开发板调试---给出运行仿真波形截图,分析运行结果。 (6)设计体会与小结---设计遇到的问题及解决办法,通过设计学到了哪些新知识,巩固了哪些知识,有哪些提高。 (7)参考文献(必须按标准格式列出,可参考教材后面的参考文献格式) (8)报告按规定排版打印,要求装订平整,否则要求返工; (9)课设报告的装订顺序如下:封面---任务书---中文摘要---目录----正文---附录(代码及相关图片) (10)严禁抄袭,如有发现,按不及格处理。 3)课程设计评分标准: (1)学习态度:10分;

(2)系统设计:20分; (3)代码调试:20分; (4)回答问题:20分; (5)论文撰写:30分。 4)课程设计进度安排 进度安排: 本设计持续10天,其中最后一天为答辩时间。 第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备; 第3-4天:完成设计与验证方案,经指导老师验收后进入模块电路设计; 第5-7天:完成模块电路设计,进行代码输入,并完成代码的初步仿真; 第8-9天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩; 第10天:验收合格后进行答辩。 学生签名: 2015 年12 月28 日 课程设计(论文)评审意见 (1)学习态度(10分):优()、良()、中()、一般()、差();(2)系统设计(20分):优()、良()、中()、一般()、差();(3)代码调试(20分):优()、良()、中()、一般()、差();(4)回答问题(20分):优()、良()、中()、一般()、差();(5)论文撰写(30分):优()、良()、中()、一般()、差(); 评阅人:职称: 2016 年 1 月10 日

EDA技术课程设计-脉冲按键电话显示器.

课程EDA技术课程设计 题目脉冲按键电话显示器 专业电子信息工程 主要内容、基本要求、主要参考资料等 主要内容: 设计一个准确地反映按键数字具有8位显示的电话按键显示器,该电话显示器要求具有重拨的功能,当按下重拨键时,能够显示最后一次输入的电话号码。 基本要求: 1、设计一个具有8位显示的电话按键显示器; 2、能准确地反映按键数字; 3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位; 4、设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码; 5、挂机2秒后或按熄灭按键,熄灭显示器显示。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2011.3.11 指导教师 专业负责人 2011年3月7日

一、总体设计思想 1.基本原理 本次课程设计是用VHDL语言实现一个能准确地反映按键数字、具有8位显示的电话按键显示器。摘机时开始工作,显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;设置一个“重拨”键,按下此键能显示最后一次输入的电话号码;挂机2秒后或按熄灭键,熄灭显示器显示。 本文设计的脉冲按键电话显示器由五个模块组成:按键电路、译码器、移位寄存、锁存器和数码管显示电路,其中移位寄存、锁存器和数码管译码显示电路为系统的主要组成部分。 (1)按键电路模块。提供“0”到“9”数字按键的输入,同时设置有拨号键,清除键,挂机键和重拨键。 (2)译码电路模块。译码器有两个功能。第一,把输入的一位键值转换成四位BCD码;第二,把4位二进制码译成相应的数码管输出显示码。 BCD码对应的七段数码管显示如下表: BCD码对应的数码管显示

EDA课程设计洗衣机控制器要点

东北石油大学课程设计 2014年 3 月7日

东北石油大学课程设计任务书 课程EDA技术课程设计 题目洗衣机控制器 专业电子信息工程姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2014.3.7 指导教师 专业负责人 2014年3月3日

一、设计思想 1.基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。 2.设计框图 图1 设计框图 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、设计步骤和调试过程 1、模块设计和相应模块代码 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电

eda课程设计1203010

《EDA技术》 课程设计报告 题目: FPGA数字时钟设计 班级: 12电信本2 学号: 1203010211 姓名:高翔 同组人员:汤吉鑫王正提 指导教师:杨祖芳 2015年 5月1日

目录 1 设计任务 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2 总体设计框图 (1) 3 单元电路设计 (1) 3.1 秒计数器模块设计与实现 (1) 3.2 分计数器模块设计与实现 (3) 3.3 时计数器模块设计与实现 (4) 3.4 校准模块 (5) 3.5 BCD七段显示译码器 (5) 3.6 3-8线译码器模块设计与实现 (6) 3.7 分频器的设计与实现 (7) 3.8 去抖模块 (7) 3.9 动态扫描数码显示器 (8) 3.10 顶层原理设计图 (8) 4 硬件测试与结果分析 (9) 4.1 硬件测试 (9) 4.2 测试过程及结果分析 (9) 5 收获与体会 (10) 参考书目 (10) 附录 (11)

1 设计任务 设计并实现具有一定功能的数字钟。包括清零、置数、计数、报时等功能。 (1)具有时、分、秒计数显示功能,且以24小时循环计时。 (2)具有清零的功能,且能够对计时系统的小时、分钟进行调整。 (3)具有整点报时功能。 2 总体设计方案 2.1 设计思路 本设计采用层次化设计方式,先设计数字时钟的底层器件:秒计数器、分 计数器、时计数器、bcd 七段显示译码器、3-8译码器、分频器、动态扫描数码显示器。顶层采用原理图设计方式,将所设计的底层器件连接起来构成一个具有计时和调时功能的数字时钟。 2.2 总体设计框图 图2-2 设计框图 3 单元电路设计 3.1 秒计数器模块设计与实现 计时模块使用的时钟信号为1Hz 。秒计时模块为60进制计数器,也可以看为个位为10进制十位为6进制。当秒的个位显示到9时,下一秒向十位进1 并将个位重新归零并开始计数,当十位为5个位为9时,计时器下一秒向分计数器进1并同时将秒计时器个位、十位归零。也可以看成计时器从00开始计数到59,当秒显示为59时,下一秒将显示00并从新开始计数,同时向分位为进1。当秒计时模块中扫描到有按键按下时,直接向分计时器进1,但不影响秒计时器的正常计数(扫描按键是

EDA技术课程设计 矩形波

河北科技大学 课程设计报告 学生姓名(学号): 专业班级:电信班 课程名称:EDA技术课程设计 学年学期: 2 013 —2 014学年第2 学期指导教师:于国庆 2 0 14 年6 月 课程设计成绩评定表

学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 学生姓名学号1107012 成绩 总成绩 专业班级电信班起止时间2014/6/13—2014/6/20 1Hz-10KHz任意频率矩形波发生器 设计题目 指 导 教 师 评 指导教师: 语 年月日 目录

一、设计要求 (3) 二、单元模块设 (4) 2.1分频电路 (4) 2.2占空比设计思路 (4) 2.3频率显示设计思路 (4) 三、系统设计 (5) 3.1 DDS基本结构 (5) 3.2 总体原理 (5) 四、仿真与调试 (5) 4.1主程序 (5) 4.2按键去抖程序 (13) 4.3仿真图 (15) 4.4引脚锁定 (16) 五、发现的问题和改进方法 (17) 六、协同与分工 (17) 七、心得体会(总结) (17) 八、参考文献 (18) 摘要

频率合成技术是将一个(或多个)基准频率变换成另一个(或多个)合乎质量要求的所需频率的技术。在通信、雷达、导航、电子侦察、干扰与抗干扰等众多领域都有应用。随着各种频合成器和频率合成方案的出现,频率合成技术得到了不断的发展。 1971年3月美国学者J.Tierncy,C.M.Rader和B.Gold首次提出了直接数字频率合成(DDS__Direct Digital Synthesis)技术。这是一种从相位概念出发直接合成所需要的波形的新的全数字频率合成技术。同传统的频率合成技术相比,DDS 技术具有极高的频率分辨率、极快的变频速度,变频相位连续、相位噪声低,易于功能扩展和全数字化便于集成,容易实现对输出信号的多种调制等优点,满足了现代电子系统的许多要求,因此得到了迅速的发展。 基于DDS的优点,本课设中采用此设计方法来完成课设任务。 一、设计要求 功能:采用直接数字频率合成(DDS)技术原理设计,由按键设置输出频率,数码管低5位显示输出频率值;按键设置输出信号占空比,数码管高两位显示输出信号占空比,占空比类型为固定为7种:8:1、4:1、2:1、1:1、1:2、1:4、1:8、,对应显示为:8.1、4.1、2.1、1.1、1.2、1.4、1.8。 要求:输出频率设定采用5个按键,分别对频率值的个位、十位、百位、千位及十千位设置,按键时对应位的数值+1,0~9循环,显示值即输出频率值。占空比设置用一个按键,占空比7中类型循环,信号同时输出给蜂鸣器。 发挥:多路信号输出,相位关系可设定;8个led显示相位累加器量值(单点示波器)。 二、单元模块设计思路 2.1 分频电路

EDA技术实践课程设计--24进制计数器

东北石油大学EDA技术实践课程设计 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

《EDA技术及应用》课程设计报告

郑州航空工业管理学院 《EDA技术及应用》课程设计报告 11 级电子信息工程专业 1113084 班级 题目: 三人智力抢答器 姓名: 学号: 同组同学: 指导教师: 王春彦程铮张臻刘兆瑜 二零一三年七月五日

三人智力抢答器课程设计报告 随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。为了克服上述困难,加拿大Interactive Image Technologies公司推出的基于Windows 95/98/NT操作系统的EDA软件(Electronics Workbench“电子工作台”,EWB)。他可以将不同类型的电路组合成混合电路进行仿真。EWB是用在计算机上作为电子线路设计模拟和仿真的新的软件包,是一个具有很高实用价值的计算机辅助设计工具。目前已在电子工程设计等领域得到了广泛地应用。与目前流行的电路仿真软件相比较,EWB具有界面直观、操作方便等优点。他改变了有些电路仿真软件输入电路采用文本方式的不便之处,该软件在创建电路、选用元器件的测试仪器等均可以直接从屏幕图形中选取,而且测试仪器的图形与实物外形基本相似,从而大大提高了电子设计工作的效率。此外,从另一角度来看,随着计算机技术和集成电路技术的发展,现代电子与电工设计,已经步入了电子设计自动化(EDA)的时代,采用虚拟仿真的手段对电子产品进行前期工作的调试,已成为一种发展的必然趋势。通过对实际电子线路的仿真分析,从而提高对电路的分析、设计和创新能力。

EDA技术0934091 课程设计任务书20120613(1)

郑重声明 1,选题截止时间为今日19:00,未选题者后果自负。 2,每人务必亲自到EDA实验室签字,代签或不签无效。 3,每题限选三人,如有选满的题本人会在第一时间在QQ群中公布,其余要求以此任务书为准,请仔细阅读。 4,本人所了解到的所有信息和任务书中完全一致,一切问题概不解释。本人拒接一切电话,短信与QQ信息不回。 请谅解 乔治

河南城建学院 《EDA技术》课程设计任务书 班级0934091 专业电子信息工程 课程名称 EDA技术 指导教师:赵红梅、陈英、梁成武 电气与电子工程系 2011年6月

《EDA技术》课程设计任务书 1、第六学期期末的一周 2、电气与电子工程系系馆楼 二、设计目的和要求 1、设计目的 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求 (1).以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2).熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3).能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4).学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 三、设计题目和内容 题目1: 8位加法器的设计 用EDA技术试设计一个8位二进制并行加法器,它由两个4位二进制并行加法器级联而成。要求加数、被加数、和都在数码管上以十进制数显示出来。系统提供50HZ频率的时钟源(要求根据试验箱可以用不同的时钟频率)。完成该系统的硬件和软件的设计,并使用试验箱调试制作出实物,调试好后并能实际运用(需要经指导教师现场演示验证),最后就课程设计本身提交一篇课程设计报告。 题目2:正负脉宽数控调制信号发生器的设计 用EDA技术试设计一个正负脉宽数控信号发生器,它的输出信号的高低电平脉宽可分别由两组8位二进制预置数进行控制。正负脉宽的输出由LED显示,

EDA课程设计报告(DOC)

EDA课程设计报告 —VGA显示器彩色信号发生器电路 班级:11电子班 姓名: 学号: 1115102015 指导老师:凌朝东

摘要:VGA(Video Graphic Array)接口是与显示器进行通信的唯一接口。通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最后可以实现对VGA显示器的控制。本设计与传统的图像显示方法不同,为节省储存空间在设计中采用R、G、B三种基色利用FPGA芯片和EDA设计方法,可以显示8种颜色,设计出针对性强的VGA显示控制器,而且不需要依靠计算机,既能够大大降低成本,又可以满足生产实践中不断变化的需要,同时产品的升级换代也方便迅速。本设计采用Altera公司的EDA软件0uartus II,并以Cylone 系列FPGA器件为硬件平台进行系统设计。

目录 1、系统设计 (4) 2、单元电路设计 (6) 3、软件设计 (8) 4、系统测试 (12) 5、结论 (14) 6、参考文献 (14) 7、附录 (15) 8、设计说明书 (16)

一、系统设计 1、设计要求:VGA显示器彩色信号发生器电路,能在VGA显示器上显示8种颜色的图形(条型、方块型)。 2、系统设计方案: 方案一:采用传统的图像显示方法,将图像数据传回电脑在传输过程中通过CPU对图像数据信号进行控制,通过显示器显示出来。 方案二:采用FPGA和EDA的设计方法,通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最终可以实现对VGA 的控制。 方案一为传统的图像显示方法,在传输过程中需要CPU不断对图像数据信号进行控制,这样就造成了CPU的浪费,同时系统还需要依赖电脑,降低了系统的灵活性。 方案二是利用可编程器件FPGA和EDA的设计方法,可以根据设计的需要设计出针对性比较强的VGA显示器,而且不需要依靠计算机,大大降低了成本提高了系统的灵活性。 综合上诉分析本设计中采用方案二。 3、系统的工作原理:计算机显示器的显示有许多接口标准,常见的 有VGA、SVGA等。VGA接口,也叫D-Sub接口, 是15针的梯形插头,分成3排,每排5个, 传输模拟信号。VGA接口采用非对称分布的 15针连接方式,其工作原理是:将显存内 以数字格式存储的图像(帧)信号在RAMDAC里经过模拟调制成模拟高

EDA技术 课程设计任务书

河南城建学院 《EDA技术》课程设计任务书 班级0934091 专业电子信息工程 课程名称 EDA技术 指导教师:赵红梅、陈英、梁成武 电气与电子工程系 2011年6月 《EDA技术》课程设计任务书

1、第六学期期末的一周 2、电气与电子工程系系馆楼 二、设计目的和要求 1、设计目的 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求 (1).以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2).熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3).能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4).学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 三、设计题目和内容 题目1: 8位加法器的设计 用EDA技术试设计一个8位二进制并行加法器,它由两个4位二进制并行加法器级联而成。要求加数、被加数、和都在数码管上以十进制数显示出来。系统提供50HZ频率的时钟源(要求根据试验箱可以用不同的时钟频率)。完成该系统的硬件和软件的设计,并使用试验箱调试制作出实物,调试好后并能实际运用(需要经指导教师现场演示验证),最后就课程设计本身提交一篇课程设计报告。 题目2:正负脉宽数控调制信号发生器的设计 用EDA技术试设计一个正负脉宽数控信号发生器,它的输出信号的高低电平脉宽可分别由两组8位二进制预置数进行控制。正负脉宽的输出由LED显示,并要求两组8位二进制预置数在数码管上以十进制数显示出来。系统提供50MHZ 频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后

eda技术课程设计

兰州交通大学EDA技术课程设计 学院: 专业: 班级: 学生姓名: 学号: 指导教师:

LED点阵显示控制器 16×16点阵汉字显示设计 绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏慕。当今世界,电子技术迅猛发展,点阵式显示器件作为现代信息显示的重要媒体,在金融证券、体育、机场、交通、商业、广告宣传、邮电电信、指挥调度、国防军事等许多领域中得到了广泛应用。因此点阵式显示器件的研制、生产也的到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。目前,点阵式显示器件具体包括LED显示模块和LCD显示模块等,现在发展的LCD比较先进,LCD的优点较为明显,他体积小,容易控制,功能强,价格适宜,能够适应显示器的发展方向,因而在通信、家电、大屏幕投影等领域得到了越来越广泛的应用; 随着社会经济的迅猛发展,工业生产逐渐实现了自动化,其中,设各的工作状态和生产过程状态的显示与监控起到了非常重耍的作用,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,他可以显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型,显示亮度较高,并且对环境条件要求比较低。LED显示又可以分为单色显示和双色显示,可以按照需要的大小、形状和颜色进行组合,并用单片机控制实现各种文字或图形的变化,达到宣传和提示的目的。 1 EDA、VHDL简介 1.1 EDA技术 EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。目前EDA主要辅助进行三个方面的设计工作:IC设计、电子电路设计和PCB设计。没有EDA 技术的支持,想要完成超大规模集成电路的设计制造是不可想象的;反过来,生

相关主题