搜档网
当前位置:搜档网 › 计算机组成原理期末考试试卷及答案

计算机组成原理期末考试试卷及答案

计算机组成原理期末考试试卷及答案
计算机组成原理期末考试试卷及答案

计算机组成原理期末考试试卷(1)

一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。

2.在定点二进制运算器中,减法运算一般通过______ 来实现。

3. A.补码运算的二进制加法器 B. 补码运算的二进制减法器

4.C. 补码运算的十进制加法器 D. 原码运算的二进制减法器

5.下列关于虚拟存储器的说法,正确的是_B___。

A.提高了主存储器的存取速度

B.扩大了主存储器的存储空间,并能进行自动管理和调度

C. 提高了外存储器的存取速度

D. 程序执行时,利用硬件完成地址映射

6.下列说法正确的是__B__。

A. 存储周期就是存储器读出或写入的时间

B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取

C. 双端口存储器在左右端口地址码不同时会发生读/写冲突

D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式

7.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用__C__寻址方式。

A. 堆栈

B. 立即

C.隐含

D. 间接

8.指令系统中采用不同寻址方式的目的主要是___D___ 。

9.A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度

10.C.可以直接访问外存 D。缩短指令长度,扩大寻址空间,提高编程灵活性

11.下列说法中,不符合RISC指令系统特点的是__B__。

A.指令长度固定,指令种类少

B.寻址方式种类尽量少,指令功能尽可能强

C. 增加寄存器的数目,以尽量减少访存的次数

D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

12.指令周期是指___C___。

13. A .CPU 从主存取出一条指令的时间 B .CPU 执行一条指令的时间 14. C .CPU 从主存取出一条指令加上执行这条指令的时间 D .时钟周期时间 15.

假设微操作控制信号用n C 表示,指令操作码译码输出用m I 表示,节拍电位信

号用k M 表示,节拍脉冲信号用i T 表示,状态反馈信息用i B 表示,则硬布线控制器的控制信号n C 可描述为__D__。

A. ()i m n T I f C ,=

B. ()i m n B I f C ,=

C. ()i i k n B T M f C ,,=

D.

()i i k m n B T M I f C ,,,=

10.下列关于PCI 总线的描述中,正确的是__A__。 A.PCI 总线的基本传输机制是猝发式传送

B. 以桥连接实现的PCI 总线结构不允许多条总线并行工作

C. PCI 设备一定是主设备

D. 系统中允许只有一条PCI 总线

二.填空题(下列每空2分,共32分)

1. IEEE754标准的32位规格化浮点数,所能表达的最大正数为

____128

232)]21(1[?-+-_______。

2. 对存储器的要求是容量大,___速度快___,成本低。为了解决这方面的矛盾,计算机

采用多级存储体系结构。

3. DRAM 存储器之所以需要刷新是因为_____有信息电荷泄漏,需定期补充。 4. 有静态RAM 与动态RAM 可供选择,在构成大容量主存时,一般就选择_动态

RAM_。

5. 在集中式总线仲裁中,___独立请求方式______方式响应最快,___菊花链查询______

方式对电路故障最敏感。

6. 某CRT 的分辨率为10241024?,灰度级为256,帧频为75Hz ,则刷存总带宽应为

___________MB/s 。

7. Pentium 系统有两类中断源:由CPU 外部的硬件信号引发的称为中断,它又可分为

___可屏蔽中断________和____非屏蔽中断_______;由指令执行引发的称为___异常____,其中一种是执行异常,另一种是_____执行软件中断指令_________。

8. 中断接口电路中的EI 触发器的作用是_____是否允许中断源的中断请求被发出____,

RD 触发器的作用是____外设准备好时发出就绪信号_______,DMA 控制器中的内存地址计数器的作用是_存放内存中要交换的数据块的首地址。

9. 字节多路通道可允许每个设备进行__传输__型操作,数据传送单位是___字节。

三.简答题(共24分)

1. (8分)设浮点数的阶码为4位(补码表示,含阶符),尾数为6位(补码表示,含

尾符),x ,y 中的指数、小数项均为二进制真值。

1101.0201?=x ,)1010.0(211-?=y ,求y x +。

解:)11010.0(2

010

-?=+y x

1) 求阶差对阶

故x M 需右移2位变为:)10(00110.0 2) 尾数用双符号位求和 3) 规格化

左规为:)0(00101.11,指数为0010 4) 所以有:

2. (8分)某机器字长16位,主存容量为64K 字,共64条指令,试设计单地址单字长

指令格式,要求操作数有立即数、直接、变址和相对寻址4种方式,并写出寻址模式定义和在每种寻址方式下的有效地址计算公式。 答:由于有64条指令故操作码OP 字段占6位;寻址模式字段X 占2位;剩余8位留给D 字段,故指令格式为:

15~10 9~8 7~0

X=00X=01,直接寻址 EA=D

X=10,变址寻址 EA=(R )+D ,R 为16位变址寄存器 X=11,相对寻址 EA=(PC )+D ,PC 为16位程序计数器

3. (8分)一盘组共11片,每片双面记录,最上最下两个面不用。内外磁道直径分别为

10、14英寸,道密度为100道/英寸,数据传输率为983040字节/秒,磁盘组的转速为3600转/分。设每个记录块记录1024字节,现某计算机系统挂接16台这样的磁盘,试设计适当的磁盘寻址格式,并计算该系统总存储容量。

四.分析与设计题(从下列3题中选做2题,共24分)

1. (12分)假设存储器的容量为32字,字长64位,现已用若干存储芯片构成4个模块

30M M -,每个模块8个字。

1) 试分别用顺序方式和交叉方式构造存储器,要求画图并说明。

2) 设起始地址为00000,那么在交叉方式中,第26号字存储单元的地址是多少? 3) 若存储周期为200ns ,数据总线宽度为64位,总线传送周期为50ns ,求交叉存储

器方式中,存储器的带宽是多少?

2. (12分)流水线中有三类数据相关冲突:写后读(RAW )、读后写(WAR )和写后写

(WAW )相关,某CPU 具有五段流水线IF (取指令)、ID (指令译码和取寄存器操作数)、EXE (ALU 执行)、MEM (访存)和WB (结果写回寄存器),现有按以下次序流入流水线的指令组: 3121

,,R R R SUB I ;312R R R -← 4252,,R R R AND I ;425R and R R ← 2673,,R R R OR I ;267R or R R ← 2274

,,R R R ADD

I ;227R R R +←

1) 判断存在哪种类型的数据相关。

2) 假定采用将相关指令延迟到所需操作数被写回寄存器堆后再进行ID 的方式来解

决上述冲突,那么处理器执行这4条指令共需要多少个时钟周期?要求用表格方式分析。

3. (12分)某计算机微程序控制器控制存储容量为256?32位,共71个微操作控制信

号,构成了5个相斥的微命令组,各组分别含有4、8、17、20和22个微命令。下图给出了其部分微指令序列的转移情况,方框内的字母表示一条微指令,分支点a 由指令寄存器的5IR ,6IR 两位决定,修改5A μ6A μ,分支点b 由条件码标志0C 决定,修改4A μ。现采用断定方式实现微程序的顺序控制。 1) 给出采用断定方式的水平型微指令格式。 2)

3) 画出微地址转移逻辑表达式和电路图。

2分,共20分)

六.

1. 12823

2)]21(1[?-+-

2. 速度快

3. 有信息电荷泄漏,需定期补充 4. 动态RAM

5. 独立请求方式,菊花链查询方式 6. 75

7. 可屏蔽中断,非屏蔽中断,异常,执行软件中断指令

8. 是否允许中断源的中断请求被发出,外设准备好时发出就绪信号,存放内存中要交换

的数据块的首地址 9. 传输,字节

七.简答题(每小题5分,共10分)

1.

解:)11010.0(2

010

-?=+y x

5) 求阶差对阶

故x M 需右移2位变为:)10(00110.0 6) 尾数用双符号位求和 7) 规格化

左规为:)0(00101.11,指数为0010 8) 所以有:

2. 由于有64条指令故操作码OP 字段占6位;寻址模式字段X 占2位;剩余8位留给D

字段,故指令格式为:

15~10 9~8 7~0

X=00X=01,直接寻址 EA=D

X=10,变址寻址 EA=(R )+D ,R 为16位变址寄存器 X=11,相对寻址 EA=(PC )+D ,PC 为16位程序计数器

3. 解:B r C N 1638460

3600

983040/=÷

== 所以,扇区数16102416384=÷=

故,表示磁盘地址格式的所有参数为:台数16,记录面20,磁道200,扇区数16,由此可得磁盘的地址格式为:

20~17 16~9 8~4 3~0

B

八.分析与设计题(共35分)

1.

解:1)图略

2)第26号存储单元的地址为:

交叉方式:11010 3)bit bit q 256464=?=

2.

解:1)1I 和2I 3I 之间存在RAW 数据相关 3I 和4I 之间存在WAW 数据相关

2)如下表所示:

3.

解:1)71个微命令不可能采用直接表示法,故采用字段译码法,控存容量为256,

故后继地址为8位,微指令格式为:

31~29 28~25 24~20 19~15 14~10 9~8 7~0

3)4616

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

《计算机组成原理A》形考作业二答案

计算机组成原理A形成性考核作业二(参考答案) 一、选择题: 1.计算机硬件能直接识别和运行的只能是_______程序。 A.机器语言B.汇编语言C.高级语言D.VHDL 答:A 2.指令中用到的数据可以来自_______(可多选)。 A.通用寄存器B.微程序存储器C.输入输出接口D.指令寄存器 E. 内存单元 F. 磁盘 答:A、C、E 3.汇编语言要经过_______的翻译才能在计算机中执行。 A.编译程序B.数据库管理程序C.汇编程序D.文字处理程序 答:C 4.在设计指令操作码时要做到_______(可多选)。 A.能区别一套指令系统中的所有指令 B.能表明操作数的地址 C.长度随意确定 D.长度适当规范统一 答:A、B、D 5.控制器的功能是_______。 A.向计算机各部件提供控制信号B.执行语言翻译 C.支持汇编程序D.完成数据运算 答:A 6.从资源利用率和性能价格比考虑,指令流水线方案_______,多指令周期方案_______,单指令周期方案_______。 A.最好B.次之C.最不可取D.都差不多 答:A、B、C 二、判断题:判断下列说法是否正确,并说明理由。 1.变址寻址需要在指令中提供一个寄存器编号和一个数值。√ 2.计算机的指令越多,功能越强越好。× 3.程序计数器PC主要用于解决指令的执行次序。√ 4.微程序控制器的运行速度一般要比硬连线控制器更快。× 三、简答题: 1.一条指令通常由哪两个部分组成?指令的操作码一般有哪几种组织方式?各自应用在什么场合?各自的优缺点是什么? 答:一条指令通常由操作码和操作数两个部分组成。 指令的操作码一般有定长的操作码、变长的操作码两种组织方式。 定长操作码的组织方式应用在当前多数的计算机中;变长的操作码组织方式一般用在小型及以上的计算机当中。 定长操作码的组织方式对于简化计算机硬件设计,提高指令译码和识别速度有利。 变长的操作码组织方式可以在比较短的指令字中,既能表示出比较多的指令条数,又能尽量满足给出相应的操作数地址的要求。 2.如何在指令中表示操作数的地址?通常使用哪些基本寻址方式? 答:是通过寻址方式来表示操作数的地址。 通常使用的基本寻址方式有:立即数寻址、直接寻址、寄存器寻址、寄存器间接寻址、变址寻址、相对寻址、间接寻址、堆栈寻址等。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

电大-计算机组成原理课程形考作业答案

— 计算机组成原理A 形考作业一(参考答案) 一、选择题: 1.机器数_____中,零的表示形式是唯一的。 A.原码 B.补码 C.移码 D.反码 答案:B 2.某计算机字长16位,采用补码定点小数表示,符号位为1位,数值位为15位,则可表示的最大正小数为_____,最小负小数为_____。 A.B. [ C. D. 答案:C 3.加法器采用并行进位的目的是_____。 A.提高加法器的速度B.快速传递进位信号 C.优化加法器结构 D.增强加法器功能 答案:B 4.组成一个运算器需要多个部件,但下面所列_____不是组成运算器的部件。 A.状态寄存器 B.数据总线 ) C.ALU D.地址寄存器 答案:D 二、判断题:判断下列说法是否正确,并说明理由。 1.ASCII编码是一种汉字字符编码;×是西文7位编码 2.一般采用补码运算的二进制减法器,来实现定点二进制数加减法的运算;× 3.在浮点数表示法中,阶码的位数越多,能表达的数值精度越高;× 4.只有定点数运算才可能溢出,浮点数运算不会产生溢出。× 三、简答题: ^ 1.简述奇偶校验码和海明校验码的实现原理。 答:奇偶校验码原理:通常是为一个字节补充一个二进制位,称为校验位,通过设置校验位的值为0或1的方式,使字节自身的8位和该校验位含有1值的位数一定为奇数或偶数。在接收方,检查接收到的码字是否还满足取值为1的总的位数的奇偶关系,来决定数据是否出错。 海明校验码原理:是在k个数据位之外加上r个校验位,从而形成一个k+r位的新的码字,使新的码字的码距比较均匀地拉大。把数据的每一个二进制位分配在几个不同的偶校验位的组合中,当某一位出现错误,就会引起相关的几个校验位的值发生变化,这不但可以发现错误,还可以指出哪一位出错,为进一步纠错提供了依据。 2.简述教材中给出的MIPS计算机的运算器部件的功能和组成。 答:MIPS计算机的运算器部件的功能和组成:运算器的首要功能是完成对数据的算术和逻辑运算, 由其内部的一个被称之为算术与逻辑运算部件(英文缩写为ALU)承担;运算器的第二项功能,是暂存将参加运算的数据和中间结果, 由其内部的一组寄存器承担;为了用硬件线路完成乘除指令运算, 运算器内一般还有一个能自行左右移位的专用寄存器, 通称乘商寄存器。这些部件通过几组多路选通器电路实现相互连接和数据传送;运算器要与计算机其它几个功能部件连接在一起协同运行, 还必须有接受外部数据输入和送出运算结果的逻辑电路。 3.浮点运算器由哪几部分组成

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理形考复习题.docx

1?下列数中最小的数是(B )最大的是(C) A.(1010011)2 B.(42)8 C. (10101000)BCD D.(25)16 2.下列数中最大的数是(D) A.(101001)2 B.(52)8 C. (OOlllOOl)BCD D..(2C)16 3?两个补码数相加,只有在最高位/符号位相同时会有可能产生溢出,在最高位/符号位不同时(一定不会产生溢出)。 4..两个补码数相减,只有在符号位不同时会有可能 产生溢出,在符号位相同时(一定不会产生溢出)5.定点数补码加法具有两个特点:一是符号位(与 数值位一起参与运算);二是相加后最髙位上的进位(要舍去)。 6.定点运算器是用来进行定点运算。 7.为了便于检查加减运算是否发生溢出,定点运算器采用双符号位的数值表示,在寄存器和主存中是采用(单符号位)的数值表示。 &长度相同但格式不同的2种浮点数,假设前者阶码长、尾数短,后者阶码短、尾数长,其他规定均相同,则它们可农示的数的范围和精度为(前者可表示的数的范围大但精度低,后者可表示的数的范围小但精度髙)。 9.在定点二进制运算器中,减法运算一般通过(补码运算的二进制加法器)来实现。 在定点二进制运算器中,加法运算一般通过(补码运算的二进制加法器)來实现。 10?某机字长32位,采用定点整数表示,符号位为1 位,尾数为31位,则原码表示法可表示的最大正整数为一域小负整数为(A ) A.+ (231-1), -(231-1) B. (231-1), - (232-1) 0+(230-1). -(230-1) D.+(231-l), -(1-2-31)11.某机字长32位,采用定点小数表示,符号位为1 位,尾数为31位,则原码表示法可表示的最大正小数为一域小负小数(C) A+(232-l),—(1—2—31)B.+(231-lh—(1—2—32) C.+(l-2—31),一(1—2—31) D_+(231— 1L—(1-2-31) 12在定点运算器中,无论采用双符号位还是采用单符号位都必须要有溢出判断电路,它--般用(C )来实现A.与非门B.或非门C.异或门D.与或非门13?在定点运算器中,必须要冇溢出判断电路,它一般用(C)來实现 A.与非门 B.或非门C异或门D与或非门 加法器采用并行进位的目的是(提高加法器的速度14.计算机硬件能直接识别和运行的只能是(机器语斉)程序。 15.汇编语言要经过(汇编程序)的翻译才能在计算机中执行。 16运算器的主要功能是进行(逻辑运算和算术运算 17.堆栈寻址的原则是(后进先出)。 18.组成组合逻辑控制器的主要部件有(PC、IR )。 19.运算器[tlALU完成运算后,除了运算结果外, 下血所列(D)不是运算器给出的结果特征信息。 A是否溢出B有无进位C结果足否为零D时钟信号 20.微程序控制器中,机器指令与微指令的关系是 (每一条机器指令由一段用微指令编成的微程序来解 释执行)。 21.程序计数器PC的位数取决于(存储器的容量), 指令寄存器IR的位数取决于(指令字长)。 22.RAM芯片串联的目的是(增加存储单元数量), 并联的目的是(增加存储器字长)° 23?在独立编址方式下,存储单元和I/O设备是靠 (不同的地址和指令代码)来区分的. 19?输入输出指令的功能是(进行CPU和I/O设备之 间的数据传送)。 24.在独立编址方式下,存储单元和I/O设备是靠(不 同的指令或不同的控制信号)来区分的。 25?在统一编址方式下,存储单元和I/O设备是靠指 令中的(不同的地址)来区分的。 22. CPU通过指令访问主存所用的程序地址叫做(逻 辑地址)。 26相对寻址方式中求有效地址使用(D )加上偏移量 A.妹址寄存器内容B栈指示器内容 C.变址寄存器内容 D.程序计数器内容 27.变址寻址方式中,操作数的冇效地址等于(C)。 A.基址寄存器内容如上形式地址 B.堆钱指豆武器内容加上形式地址 C.变址寄存器内容加上形式地址 D.程序计数器内容加上形式地址 2&基址寻址方式中,操作数的冇效地址等于(A )。 A.基址寄存器内容加上形式地址 B-堆栈指示器内容加上形式地址 C.变址寄存器内容加上形式地址 D.程序计数器内容加上形式地址 29?指令系统中采用不同寻址方式的目的是(D) A.降低指令译码的难度 B.提高指令读取的速度 C.实现程序控制 D.缩短指令字长,扩大寻址空间,捉高编程灵活性 30.关于操作数的來源和去处,表述不正确的是(D) A.第一个来源利去处是CPU内部的通用寄存器 B.第二个来源和去处是外设中的帘存器 C.第三个來源和去处毘内存中的存储单元 D.第四个来源和去处是外存储器 31.寄存黠间接寻址方式中,操作数在(C)中 A.通用寄存器 B.堆栈 C.主存单元 D.外存储器 32.在CPU与主存之间加入Cache,能够提爲CPU 访问存储器的速率,一般情况下Cache的容鱼______ 命中率____ ,因此Cache容量_____ ° (C ) A.越大,越高,与主存越接近越好 B.越小,越高,与主存越差异大越好 C.越大,越高,只要几十或几百K就可达90%以.上 D.越小,越髙,只要几K就可达90%以上 33.在CPU与主存Z间加入Cache,能够(解决CPU 和 主存之间的速度匹配问题) 34.CPU中的通用寄存器(可以存放数据和地址) 35.在采用DMA方式高速传输数据时,数据传送(B A.在总线控制器发出的控制信号控制下完成的 B在DMA控制器本身发出的控制信号控制下完成的 C.由CPU执行的程序完成的 D.由CPU响应硬中断处理完成的 36.每一条指令执行时通常有①读取指令、②执行指 令、③分柝指令等几个步骤,他们的执行顺序应该是 (B)。 A.①读取指令、②执行指令、③分析指令 B.①读取指令、③分析指令、②执行指令 C.③分析指令、②执行指令、①读取指令 D.②执行指令、①读取指令、③分析指令 37.若主存每个存储单元为8位,贝IJ(C)O A.其地址线也为8位 B.其地址线为16位 C. 其地址线 与8无关D.其地址线与8有关 38.虚拟存储器管理系统的基础是程序的局部性原 理,因此虚存的目的是为了给每个用户提供比主存容 帚(B )编程空间。 A.小得多的逻辑 B.大得多的逻辑 C.小得多的物理 D.大 得多的物理 31. CPU输出数据的速度远远高于打印机的打印速 度,为解决这一矛盾,可采用(C )。 A并行技术B.通信技术C缓冲技术D虚存技术 39.中断允许触发器用来(D )。 A.衷示外设是否提出了中断请求 B.CPU是否响应了中断谙求 C.CPU是否正在进行中 断处理D.开放或关闭可屏蔽硬中断 40?在控制器中,部件(指令寄存器IR )用于接收并 保存从内存读出的指令内容,在执行本条指令的过程 中提供本条指令的主要信息。 41.在控制器中,部件(程序计数器PC)用于存放下 一条指令的地址。 42.微程序控制器中,机器指令与微指令的关系是 (每一条机器指令由一段用微指令编成的微程序来 解释执行)。 43每一*指令执行时通常有①分析指令②读取扌旨令 ③执行指令等几个步票,执行顺序应该是(D )° A.①分析指令、②读取指令、③执行指令

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

电大计算机组成原理形考任务1参考答案

计算机组成原理形考任务1参考答案 一、单项选择题(每小题6分,共36分) 题目1 下列数中最小的数是。B. (42)8 选择一项: A. (1010011)2 B. (42)8 C. (10011000)BCD D. (5A)16 题目2 某计算机字长16位,采用补码定点小数表示,符号位为1位,数值位为15位,则可表示的 最大正小数为_____,最小负小数为_____。 选择一项: A. B. C. D. 题目3 两个补码数相加,在符号位相同时有可能产生溢出,符号位不同时。D. 一定不会产生溢出 选择一项: A. 会产生溢出 B. 也有可能产生溢出 C. 不一定会产生溢出 D. 一定不会产生溢出 题目4 已知[X]原=010100,[X]反= 。A. 010100 选择一项: A. 010100 B. 001011

C. 101011 D. 101100 题目5 已知[X]原=110100,[X]补= 。D. 101100 选择一项: A. 110100 B. 001011 C. 101011 D. 101100 题目6 已知[X]原=110100,[X]移= 。B. 001100 选择一项: A. 101100 B. 001100 C. 101011 D. 011011 二、多项选择题(每小题9分,共36分) 题目7 机器数中,零的表示形式不唯一的是_____。A. 原码C. 移码D. 反码选择一项或多项: A. 原码 B. 补码 C. 移码 D. 反码 题目8 ASCII编码_____。B. 是7位的编码C. 共有128个字符 选择一项或多项: A. 是8位的编码 B. 是7位的编码

相关主题