搜档网
当前位置:搜档网 › 数字电路设计1

数字电路设计1

数字电路设计1
数字电路设计1

方案二

1.

用与非门电路设计一个输入8421码四舍五入电路。

解: 由题意可列出电路的真值表,输入为四位二进制数,若输入的数值小于4则输出为0,输入数值大于等于4则输出为1,列出真值表,根据真值表填卡诺图,化简得到与非表达式,做出电路图,真值表和Multisim 电路制图如下:

由真值表化简得到的与非表达式为L=BD BC A ??

2. 用四位全加器(74LS83)设计一个将8421BCD 码转换为余三码的电路。

解: 四位全加器74LS83实现两个二进制四位数的相加功能,根据8421BCD 码和余3码之间的转换关系,可用这种全加器实现两者的转换,即给需要转换的数码加0011,右下图为实验设计电路图,输入的B 3B 2B 1B 0设置为0011即可满足条件。

LED4

3. 用与非门及异或门设计一个一位二进制全减器。

解: 由以下真值表可分别得出两个输出与输入的逻辑表达式:C n =B A =A B ,S=B A ,电路图如下所示:

74LS00D

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电路与逻辑设计试卷 (1)

《数字逻辑电路》习题及参考答案 一、单项选择题 1.下列四个数中最大的数是( B ) A.(AF)16 B.(001010000010)8421BCD C.(10100000)2 D.(198)10 2.将代码(10000011)8421BCD 转换成二进制数为( B ) A.(01000011)2 B.(01010011)2 C.(10000011)2 D.(000100110001)2 3.N 个变量的逻辑函数应该有最小项( C ) A.2n 个 B.n2 个 C.2n 个 D. (2n-1)个 4.下列关于异或运算的式子中,不正确的是( B ) A.A ⊕ A=0 B. A ⊕A =0 C.A ⊕ 0=A D.A ⊕ 1= A 5.下图所示逻辑图输出为“1”时,输入变量( C ) ABCD 取值组合为 A.0000 B.0101 C.1110 D.1111 6.下列各门电路中,( B )的输出端可直接相连,实现线与。 A.一般TTL 与非门 B.集电极开路TTL 与非门 C.一般CMOS 与非门 D.一般TTL 或非门 7.下列各触发器中,图( B )触发器的输入、输出信号波形图如下图所示。 8.n 位触发器构成的扭环形计数器,其无关状态数有( B )个。 A.2n-n B.2n-2n C.2n D.2n-1

n 9.下列门电路属于双极型的是 ( A ) A.OC 门 B.PMOS C.NMOS D.CMOS 10.对于钟控 RS 触发器,若要求其输出“0”状态不变,则输入的 RS 信号应为( A ) A.RS=X0 B.RS=0X C.RS=X1 D.RS=1X 11.下列时序电路的状态图中,具有自启动功能的是( B ) 12.多谐振荡器与单稳态触发器的区别之一是( C ) A.前者有 2 个稳态,后者只有 1 个稳态 B.前者没有稳态,后者有 2 个稳态 C.前者没有稳态,后者只有 1 个稳态 D.两者均只有 1 个稳态,但后者的稳态需要一定的外界信号维持 13.欲得到 D 触发器的功能,以下诸图中唯有图( A )是正确的。 14.时序逻辑电路的一般结构由组合电路与( B )组成。 A .全加器 B .存储电路 C .译码器 D .选择器 15.函数 F= AB +AB 转换成或非-或非式为( B ) A. A + B + A + B B. A + B + A + B C. AB + AB D. A + B + A + B 16.图示触发器电路的特征方程 Q n+1 =( A ) A.T Q + TQ n B. TQ +TQ n n C. Q

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路逻辑设计2016-2017-1-A

11. 以下式子中不正确的是() A. 1?A=A B. A+A=A C. 1+A=1 D.B A B A+ = + 12.一个逻辑函数可以有多种不同的逻辑表达式,F(A,B,C) 是:() A.“与非―与非”式 B.“或非―或非”式 C.“与―或―非”式 D.“与―或”式 13. 下列选项中,( ) 是三态门的逻辑符号。 14. 四个触发器组成的环行计数器最多有( )个有效状态。 A. 4 B. 8 C. 16 D. 32 15. 一只四输入端或非门,使其输出为0的输入变量取值组合有( )种。 A.1 B.4 C.8 D.16 16.在下列逻辑电路中,不是组合逻辑电路的有()。 A. 锁存器 B.编码器 C.全加器 D. 选择器 17. 请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 18.下列选项中,不能实现Q n+1=n Q。( ) 19. 函数F(A,B,C)=AB+BC+AC的最小项表达式为( ) 。 A.F(A,B,C)=∑m(0,2,4) B. (A,B,C)=∑m(3,5,6,7) C.F(A,B,C)=∑m(0,2,3,4) D. F(A,B,C)=∑m(2,4,6,7) 20. 以下表达式中符合逻辑运算法则的是()。 A. A+1=1 B.1+1=10 C.0<1 D. C·C=C2 二、填空题(本题每空1分,共14分) 1.逻辑代数的三个基本逻辑运算是()、()、()运算。 2.逻辑代数的三个基本规则是()()()。 3.逻辑函数的反函数F=(),其对偶式F’=( )。 4. 逻辑函数表达式中,()是基本的表达式,易于转换成其它形式。 5.数字电路中的三态门电路的三态指的是高电平、低电平、和()状态。 6.数字电路中,当一个逻辑门的两个输入端的信号同时向相反方向变化,而变化的时间有差异的现象称(),由此而可能产生输出干扰脉冲的现象称为()。 7.锁存器与触发器都是具有0 和1两个稳定状态,一旦状态被确定,就能自行保持,锁存器是对()敏感、在其作用下改变状态的存储电路; 8.触发器是对()敏感、在其作用下改变状态的存储电路。 B A C A+ + + = B C A AB F+ + =

确定版的50个典型经典应用电路实例分析

电路1简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648,利用其压控特性在输出3脚产生频 值,测量精度极高。 率信号,可间接测量待测电感L X BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X 时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L 值。 X 电路谐振频率:f0=1/2π所以L X=1/4π2f02C LxC 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表振荡频率(MHz)98766253433834

数字电路逻辑设计试卷

数字电路逻辑设计(A 卷) 一、填空题(本大题共22分) 1、(本小题3分)十进制数 126,对应8421BCD 码 ,二进制数 ,十六进制 数 。 2、(本小题2分)二进制负整数 –1011011,补码表示为 ;反码表示为 。 3、(本小题4分)逻辑函数BD AD B A D A F +++=))((的反演式为 ;对偶式为 。 4、(本小题2分)三输入端TTL 与非门如图所示,图中A 点的电位为 F 点的电位为 。 5、(本小题3分)八选一数据选择器电路如图,该电路实现的逻辑函数是F= 。 6、(本小题3分)由集成异步计数器74LS290构成图示电路,该电路实现的是 进制计数器。 +5V 0.3V F A & 2K Ω 3K Ω 八选一数据选择器 A 0 A 1 A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 F A B “1” Q 0 Q 1 Q 2 Q 3

7、(本小题3分)逻辑函数AC C B B A F+ + =,它的与非表达式为F= ;与或非表达式为F= ;或非—或非表达式为F= 。 8、(本小题2分)用555设计的多谐振荡器,要求振荡周期T=1~10s,电容C=100μF。则电阻R的 范围是。 二、(本题10分)图示电路中,A、B是输入数据变量,C3、C2、C1、C0是控制变量。写出输出Y的逻 辑表达式,并说明该电路C3、C2、C1、C0为不同控制状态时是何种功能电路? 三、(本题8分)写出图示ROM阵列输出函数的逻辑表达式,列出真值表,说明逻辑功能。 四、(本题8分)用3线—8线译码器和必要的门电路实现逻辑函数。 (,,) F A B C ABC BC A C =++ 五、(本题10分)已知JK信号如图所示,请分别画出主从JK触发器和负边沿JK触发器的输出波形。设 触发器初始状态为0。 1 A0 1 A1 1 A2 F1 F0 CP J

数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码对应的2421码为( )。 A .01010101 B.10000101 C. D. 3.补码1.1000的真值是( )。 A . + B. -1.0111 C. D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

数字电路逻辑设计1

第一阶段练习题 一、填空题 1.BCD码都以四位二进制数来表示1位十进制数,常用的BCD码有8421 码、2421码、余3码等。 2.8421码01000101.1001对应的十进制数为45.9 ,余3码为01111000.1100。 3.通常将逻辑量在形式上数字化,即用逻辑“ 1 ”表示逻辑“真”,用逻辑“ 0 ” 表示逻辑“假”。 4.基本的逻辑关系有“与”逻辑、“或”逻辑及“非”逻辑三种。 5.当决定一事件结果的所有条件都满足时,结果才发生,这种条件和结果的关系就称为逻辑 “乘”或者“与”运算。 6.“与”运算的含义是:只有输入变量都为1时,输出变量才为 1 ;反之,只要输入 变量中有一个为0,输出变量便为0 。 7.在决定一事件结果的所有条件中,只要有一个或一个以上满足时结果就发生,这种条件和 结果的关系就称为逻辑“加”或者“或”运算。 8.或运算的含义是:只要输入变量中有一个或者一个以上为1,输出变量就为1;反之,只有输入变量都为0 时,输出变量才为0。 9.一事件结果的发生,取决于某个条件的否定,即只要条件不成立结果就发生,条件成立结 果反而不发生。这种条件和结果的关系就称为逻辑“非”。 10.逻辑函数的描述方法有逻辑表达式、真值表和逻辑图三种形式。 11.假定F、G都是具有n个相同变量的逻辑函数,对于这n个变量的2n种组合中的任 意一组输入,若F和G都有相同的输出,便称这两个函数相等。可以看出,两逻辑函数相等的 实质是它们的真值表完全相等。 12.逻辑代数表达式都是由“与”、“或”、“非”这三种基本运算组成的,其中“非” 运算优先级别最高,“或”运算优先级别最低。 13.与运算及或运算的分配律分别为:A(B+C)= AB+AC,A + B C = (A+B)(A+C)。 14.若B= 0 ,则A + B = A ,A B = 0 。 15.若B= 1 ,则A + B = 1 ,A B = A 。 16.若B≠A,则A + B = 1 ,A B = 0 。 17.由吸收律可知,A+A B C= A ,A(A+B+C)= A 。 18.由吸收律可知,A+A B C= A+BC、A(A+B+C)= A(B+C)。

数字电路逻辑设计--逻辑函数及其化简练习题

《数字电路逻辑设计》练习题 ---------- 逻辑函数及其化简 一. 用公式证明下列各等式。 1.()= D = +BC+BCD = +D= AB AC B C D AB AC D AB AC B CD AB AC AB AC +++=+++++++原式左边右边 2. A +BC (1+D)++BC =++BC=++BC =BC+BC=+BC=A C A B C D A BC A C A B A C A B A C B A A ?+?+??=+?????原式左边()右边 3. BCD BCD ACD+ABC +A BCD +BC +BCD BC +BD =BCD+A BCD BCD+BCD +ABC +BC +ACD =BCD+A BCD+BD+BC +ACD =BCD+ACD+BCD+BD+BC =BCD+ACD+BD+DC+BC =BCD+BD+DC+BC =C D+B + B D+C =BC+BD+BC= D D BC D D D D D D ++???=+?+???????原式左边()()右边 4. AB B+D CD+BC+A BD+A+CD=1=AB B+D CD BC+A BD A+C+D =AB+ B+D+CD)(B+C C D =(B+C +C D =BC+BD+CD+C+D=1=????????原式左边()++(B+D))+ 右边 二. 写出下列各逻辑函数的最小项表达式及其对偶式、 反演式 的最小项表达式 1. F=ABCD+ACD+BD =m m(0,1,2,3,5,7,8,9,10,13) F*=m(2,5,6,7,8,10,12,13,14,15) ∑=∑∑(4,6,11,12,14,15)F 2. F=AB+AB+BC =m m(0,1,6) F*=m(1,6,7) ∑=∑∑(2,3,4,5,7)F 3. F=AB+C BD+A D =m m(023******* ) F*=m(34511121315) B C +?++∑=∑∑(1,5,6,7,8,9,13,14,15) F ,,,,,,,,,,,, 三. 用公式法化简下列各式 1. F=ABC+A CD+AC =A(BC+C)+A CD=AC AB A CD =C(AD)AB=AC+CD+AB A ??++?++ 2. F=AC D+BC+BD+AB+AC+B C =AC D+BC+BD+AB+AC+BC+B C =AC D+BC+AC+B =AD+C+B ????? 3. F=(A+B)(A+B+C)(A+C)(B+C+D)F*= AB+ABC+AC+BCD = AB+AC+BCD=AB+AC F=(F*)*=(A+B)(A+C)=AC+AB ∴Q 4. F=AB+A B BC+B C AB+A B BC+B C AB+A B BC+B C A B C A A F C AB BC C AB B C C ???=?+?=?+?+=++?+=+?+ 5. F=AC+B ()()()()C B AC AC F A C B C ABC ABC AB A C BC C ABC ABC AB C A B C AC BC ++=++++=+?++++=+=+=+ 四. 用图解法化简下列各函数。 1. F=ABC+A CD+AC ?

数字电路设计 数字电路应用设计

数字电路设计数字电路应用设计数字电路应用设计。 本书从实用设计方法出发。 结合实际应用。 介绍数字电路设计的方法及应用。 本书共10章。 内容包括数字电路实用设计基础。 电子计数器。 秒表的制作。 数字电路设计电子储钱罐的设计与制作。 自行车用速度计的制作。 出租车计费器的设计与制作。 4路红外遥控电路的设计。 电风扇变速超声波遥控电路的设计。 复印机逻辑控制电路设计。 单片机应用实例。 以及VHDL等。 本书内容结构合理。 配图丰富。 实用性强。 本书既可作为工科院校电子。

通信及相关专业师生的参考用书。 也可供电路设计及研发人员参考阅读。 书名,数字电路应用设计。 作者,关静。 ISBN,9787030257796。 定价,32.00 元。 出版社,科学出版社。 出版时间,2009-11-1。 装帧,平装。 开本,16开。 基本信息。 数字电路应用设计作者:关静编著出版社:科学出版社出版时间:2009-11-1开本:16开I S B N:9787030257796定价:¥32.00。 内容简介。 本书从实用设计方法出发。 结合实际应用。 介绍数字电路设计的方法及应用。 本书共10章。 内容包括数字电路实用设计基础。 电子计数器。 秒表的制作。

电子储钱罐的设计与制作。 自行车用速度计的制作。 出租车计费器的设计与制作。 4路红外遥控电路的设计。 电风扇变速超声波遥控电路的设计。 复印机逻辑控制电路设计。 单片机应用实例。 以及VHDL等。 本书内容结构合理。 配图丰富。 实用性强。 本书既可作为工科院校电子。 通信及相关专业师生的参考用书。 也可供电路设计及研发人员参考阅读。 目录。 第1章数字电路实用设计基础1.1 数字集成电路的分类。 特点及注意事项1.2 数字逻辑电路的测试方法1.3 基本逻辑门电路的测试方法1.4 典型集成逻辑门电路部件逻辑门等等。 逻辑门可以组合使用实现更为复杂的逻辑运算。 1.5 组合逻辑电路的分析与设计逻辑运算又称布尔运算布尔用数学方法研究逻辑问题。

数字电路综合设计报告

成都信息工程学院数字电路综合设计报告 课程名称:乐曲演奏电路综合设计系部:信息安全工程学院 专业班级:信对121 学生姓名:罗星 学号:2012123015 指导教师:邓娜曾祥萍龚一光

一. 设计要求 (3) 二. 系统概述及工作原理 (3) 2.1系统概述 (3) 2.2工作原理 (3) 2.2.1乐曲发声原理 (3) 2.2.2硬件电路发声原理 (4) 三. 设计的具体实现 (4) 3.1单元电路设计与分析 (5) 3.1.1十分频器 (5) 3.1.2数控分频器 (6) 3.1.3分频预置数器 (7) 3.1.4 lpm_connter的设置 (9) 3.2音乐演奏电路的总体工作原理,时钟和音乐节拍的控制关系 (11) 3.2.1总体工作原理 (11) 3.2.2时钟和音乐节拍的控制关系 (11) 3.3调试及运行 (11) 3.3.1运行结果 (11) 3.3.2扩展为其他音乐的方法 (11) 四.心得体会及建议 (12)

基于FPGA的音乐演奏电路设计 一.设计要求 1. 设计一个乐曲硬件演奏电路,通过数字逻辑电路控制蜂鸣器演奏指定的乐曲; 2. 使用数字电路实验板上的FPGA器件(EP1C3T144C8)作为硬件电路平台,使用板载的交流蜂鸣器作为发声元件; 3. 在QuartusII环境下,将各单元电路按各自对应关系相互连接,构成乐曲硬件演奏电路,进行编译及仿真; 4. 将设计下载到实验板上验证乐曲演奏的效果。 二.系统概述及工作原理 2.1系统概述 该系统主要由十分频器,数控分频器,分频预置数器,计数器等构成。 整体电路框图如图一: 图1 2.2工作原理 2.2.1乐曲发声原理 1.乐曲中的每一音符对应着一个特定的频率,要想FPGA发出不同音符的音调,

传统数字电路设计方案方法与现代数字电路设计方法比较.doc

传统数字电路设计方法与现代数字电路设计方法比较 专业: 姓名:学号: 摘要:本文对7段数码管显示功能设计分别采用传统数字电路和现代数字电路fpga(verilog hdl)实现。并对设计流程进行对比,从而得出各个方法的优劣。 关键字:7段数码管显示;传统数字电路;现代数字电路fpga 1.数字系统设计方法 传统的数字系统的设计方法是画出逻辑图,这个图包含SSI的门和MSI的逻辑功能,然后人工通过真值表和通过卡诺图进行化简,得到最小的表达式,然后在基于TTL的LSI芯片上实现数字逻辑的功能。 现代的数字系统设计是使用硬件描述语言(Hardware Description Language, HDL)来设计数字系统。最广泛使用的HDL语言是VHDL和Verilog HDL。这些语言允许设计人员通过写程序描述逻辑电路的行为来设计数字系统。程序能用来仿真电路的操作和在CPLD、FPGA 或者专用集成电路ASIC上综合出一个真正的实现 2.传统数字系统设计。 1.1 设计流程 传统的数字系统设计基于传统的“人工”方式完成,当设计目标给定后,给出设计目标的真 值表描述,然后使用卡诺图对真值表进行化简,得到最小的表达式,然后使用TTL的LSI 电路实现最小的表达式,最后使用调试工具和仪器,对系统进行调试。

1.2 功能实现 1)设计目标:在一个共阳极的7段数码管上显示相对应的0-F的值。 2)设计目标的真值表描述:图1.2首先给出了七段数码管的符号表示,当其是共阳极时,只有相应的段给低电平‘0’时,该段亮,否则灭。 3)使用卡诺图对真值表进行化简,7段数码管e段的卡诺图化简过程如图。

数字电路综合设计 拔河游戏机电路设计

2008年12月26日星期五 23:45 数字电路综合设计 组员:xxx xxx 学号:xxxxx xxxxx 拔河游戏机电路设计 一、设计要求: 1)、任务: 用数字集成电路设计一个拔河游戏机 2)、基本要求: ○1能通过输出信号快慢使LED左右闪;○2电路具有清理裁判功能和自锁功能;○3电路开始后只有中间一个点亮。 3)、发挥部分 ○1电路具有胜利音乐功能;

○2电路具有统计胜利功能; 一、实验电路 1、实验电路框图如图1所示。 图1 拔河游戏机线路框图 2、整机电路图 拔河游戏机原理图3 二、实验设备及元器件 1. +5V直流电源 2. 逻辑电平开关 3. 74LS154(实际电路由74LS138x2代替) 4线-16线译码/分配器 a) 74LS192 同步递增/递减 BCD计数器 b) CD4071 与门74LS00×3 与非门 c) CD4030 异或门 d) 电阻1K×4 三、设计整体说明 1. 图3为拔河游戏机整机线路图。 2. 可逆计数器74LS138x2原始状态输出4位二进制数0000,经译码器输出使中间的一只发 光二极管点亮。当按动A、B两个按键时,分别产生两个脉冲信号,经整形后分别加到 可逆计数器上,可逆计数器输出的代码经译码器译码后驱动发光二极管点亮并产生位 移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入 脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。 四、设计方案步骤: 1. 编码电路:由双时钟BCD同步可逆计数器74LS192构成,它有2个输入端,4个输出端, 能进行加/减计数 2. 整形电路:由与门CD4071和与非门74LS00构成。因74LS192是可逆计数器,控制加 减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD 必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键

《数字电路逻辑设计》--逻辑函数及其化简练习题

《数字电路逻辑设计》练习题 ---------- 逻辑函数及其化简 一. 用公式证明下列各等式。 1.()= D = +BC+BCD = +D= AB AC B C D AB AC D AB AC B CD AB AC AB AC +++=+++++++原式左边右边 2. A +BC (1+D)++BC =++BC=++BC =BC+BC=+BC=A C A B C D A BC A C A B A C A B A C B A A ?+?+??=+?????原式左边()右边 3. BCD BCD ACD+ABC +A BCD +BC +BCD BC +BD =BCD+A BCD BCD+BCD +ABC +BC +ACD =BCD+A BCD+BD+BC +ACD =BCD+ACD+BCD+BD+BC =BCD+ACD+BD+DC+BC =BCD+BD+DC+BC =C D+B + B D+C =BC+BD+BC= D D BC D D D D D D ++???=+?+???????原式左边()()右边 4. AB B+D CD+BC+A BD+A+CD=1=AB B+D CD BC+A BD A+C+D =AB+ B+D+CD)(B+C C D =(B+C +C D =BC+BD+CD+C+D=1=????????原式左边()++(B+D))+ 右边 二. 写出下列各逻辑函数的最小项表达式及其对偶式、 反演式 的最小项表达式 1. F=ABCD+ACD+BD =m m(0,1,2,3,5,7,8,9,10,13) F*=m(2,5,6,7,8,10,12,13,14,15) ∑=∑∑(4,6,11,12,14,15)F 2. F=AB+AB+BC =m m(0,1,6) F*=m(1,6,7) ∑=∑∑(2,3,4,5,7)F 3. F=AB+C BD+A D =m m(023******* ) F*=m(34511121315) B C +?++∑=∑∑(1,5,6,7,8,9,13,14,15) F ,,,,,,,,,,,, 三. 用公式法化简下列各式 1. F=ABC+A CD+AC =A(BC+C)+A CD=AC AB A CD =C(AD)AB=AC+CD+AB A ??++?++ 2. F=AC D+BC+BD+AB+AC+ B C =AC D+BC+BD+AB+AC+BC+B C =AC D+BC+AC+B =AD+C+B ????? 3. F=(A+B)(A+B+C)(A+C)(B+C+D)F*= AB+ABC+AC+BCD = AB+AC+BCD=AB+AC F=(F*)*=(A+B)(A+C)=AC+AB ∴ 4. F=AB+A B BC+B C AB+A B BC+B C AB+A B BC+B C A B C A A F C AB BC C AB B C C ???=?+?=?+?+=++?+=+?+ 5. F=AC+B ()()()()C B AC AC F A C B C ABC ABC AB A C BC C ABC ABC AB C A B C AC BC ++=++++=+?++++=+=+=+ 四. 用图解法化简下列各函数。 1. F=ABC+A CD+AC ?

50个典型应用电路实例详解(电子制作)

电路1 简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1 简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648 ,利用其压控特性在输出3脚产生频率信号,可间接测量待测电感L X值,测量精度极高。 BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L X值。 电路谐振频率:f0 = 1/2πLxC所以L X = 1/4π2 f02C 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表

数字电路设计实例

7.7数字系统设计实例* 本章前面介绍的数字系统设计方法是一种由顶向下的方法,其过程大致分为三步: (1)系统调研,确定初步方案; (2)模块划分,确定详细方案; (3)选用模块,完成具体设计。 下面通过保险箱数字锁控制电路的设计实例,进一步体验小型数字系统的设计方法和过程,并验。 7.7.1初步方案 承接一个数字系统的课题后,一方面要对课题的任务、要求、原理、使用环境作详细的分析,应调研相类似的课题目前有哪些解决方法及其优缺点。在此基础上确定初步方案。 1.基本要求和设计环境分析 对一只保险箱而言,基本要求是:a.安全性,开锁的密码被破译的可能性要尽可能小;b.操作的程序不能过于复杂;c.密码可以更换,遇到不正常情况应及时启动报警系统;d.使用者在拨将原拨号予以清除并重拨。 系统基本操作流程图如下所示: 2.系统结构的几个具体问题研究 输入问题开始、建立等控制信号可用按键开关实现,门把手转动产生开门信号OPEN,可也用代替。密码输入采用10个按键开关,不同的数码采用不同的键,如同电话机的按键。 输出问题数字锁的输出有两种情况:a.开门信号,当拨号正确又按动OPEN按键后,应发出信字锁。此时可用一只绿色信号灯LO标示开门状态。b.当密码或开锁程序不对时,按动OPEN键信号。报警信号可用一种单频率的方波震荡,驱动扬声器发声,还可以用红色信号灯LA表示信号、报警信号一旦出现,就一直保持下去,直到使用者或保安人员按动SETUP为止。 预置数问题预置数就是设置系统的密码,宜每隔一段时间更换一次,因此应有置数装置。每十进制数的方法有多种。为分析方便,现采用的方法是安排12个输入端,每个输入端通过一式组件开关、将其输入切至Ucc或GND。 综合上述讨论,确定保险箱密码锁的基本方案如下: (1)采用3位十进制数密码,密码用DIP开关确定,必要时可以更换。 (2)系统通电后须关上门,且按动SETUP键后方投入运行。运行时标志开门的灯或警报灯和作,系统处于安锁状态。 (3)开锁过程如下:①按START键启动开锁程序,此时系统内各部分应处于初始状态;②依十进制数码③按开门键OPEN。 (4)开锁处理事务完毕后,应将门关上,按SETUP键,使系统重新进入安锁状态。若在报警状态键或START键应不起作用,需另用一内部ISET键才能使系统进入安锁状态,此ISET键应放在

相关主题