搜档网
当前位置:搜档网 › YUY-SAE数电模电EDA综合实验箱

YUY-SAE数电模电EDA综合实验箱

YUY-SAE数电模电EDA综合实验箱
YUY-SAE数电模电EDA综合实验箱

YUY-SAE数电/模电/EDA综合实验箱

一、系统特点:

该实验装置具有安全、稳定、可读、可调整、直观、灵活和新型等特点,操作简单方便,装置运行可靠。具有很强的二次开发功能。

1、安全性

人员安全的保护:不论实验装置在正常工作或故障状态下,不会危及操作人员的人身安全。对误操作的保护:不会因为误操作而导致实验装置损坏。

电源的过流保护:因短路等故障而过流时,可自动切断实验装置电源。

2、直观性

实验装置功能模块的主要电气原理或特征将在面板或电路卡上指示,实验装置各功能引脚的符号标注在面板上。

3、灵活性

实验装置采用主板和各模块分离的设计,可编程器件焊接在独立模块上。通过选择模块可以选择不同厂家、不同型号、不同规模的可编程器件,既可适应不同的教学需要,也使系统的功能和规模扩展变得更为方便。

为了方便实验操作,减少对实验仪器仪表的依赖,实验装置主板上各部分功能模块(包括一些基本功能模块和实验小工具)几乎都是相互独立的,可以根据需要选择模块进行接线。实验装置提供扩展集成插座、面包板和部分必须的分立元件等,留有足够的接线机会,也给实验装置留有足够的机动灵活性。

4、新颖性

实验装置提供了逻辑可编程实验平台和模拟可编程实验平台,其中逻辑可编程实验平台包括CPLD/FPGA模块,模拟可编程实验平台包括ispPAC模块。不同模块使用不同的芯片,根据需要可选择不同功能芯片的模块插接到实验主板上。

5、电源多样性

系统提供了多种电压,方便用户使用。

(1)±5V/1A (2)±12V/0.2A (3)0~27V/0.2A连续可调(4)0~-12V/0.2A连续可调

6、系统资料

根据用户选择的配置,向用户提供完整的实验程序(如有),文档,软件。

二、各功能主要特点

(一)数字电路功能单元

1、4×4矩阵键盘:矩阵式结构,组合按键。

2、8位乒乓开关:开关量输入。

3、十六进制8421拨码盘:提供0~9,A~F 十六进制编码值。

4、24位开关量输出:发光二极管红、黄、绿色三组各八只高亮度发光二极管,含电流驱动。

5、6位LED显示:7段数码管静态显示方式6位,动态显示方式1-6位均可,含电流驱动。

6、有源晶振:4MHz标准时钟,通过模块跳线器选择,向可编程器件的CLK1提供时钟。

7、可编程脉冲序列发生器:由555振荡器构成频率可调、脉冲数可设置的脉冲序列发生电路。

8、单脉冲发生器:提供加消抖处理单个±脉冲输入。

9、逻辑笔:可测量逻辑高低电平、高阻和脉冲状态。

(二)模拟电路功能单元

1、模拟电路模块接入区:可接入各种模拟电路实验模块

2、波形发生器单元

输出波形:方波、三角波、正弦波

幅值:正弦波:0~14V(14V为峰-峰值,且正负对称)

三角波:0~24V(24V为峰-峰值,且正负对称)

方波:0~24V(24V为峰-峰值,且正负对称)

频率范围:分四档2HZ~100HZ、90HZ~1KHZ、900HZ~10KHZ、9KHZ~90KHZ

3、直流信号源单元:双路±5V/1mA、±0.5V/mA、两档连续可调。

4、3位半数字电压表:测量范围:-19.99V~+19.99V

(三)EDA实验功能单元

1由数字电路功能单元、模拟电路功能单元共同组成。

2、可编程器件模块。标准配置为Lattice的IspLsi1032.用户也选择其它公司的CPLD/FPGA(选配)。

(四)扩展实验模块区

1、集成电路扩展插座:可插实验用IC芯片或ispPAC模块。

2、面包板单元。

三、实验内容:

1)数字部分基本实验:

(1)门电路逻辑功能及测试;

(2)组合逻辑电路(半/全加器);

(3)R-S、D、JK4、触发器;

(4)三态输出触发器、锁存器;

(5)集成计数器及寄存器;

(6)时序电路测试及研究;

(7)译码器和数据选择器;

(8)波形发生及单稳态触发器;

(9)555时基电路

可选做如下实验:

(10)CMOS门电路测试;

(11)门电路的驱动能力测试;

(12)寄存器及其应用实验;

(13)顺序脉冲和脉冲分配器电路;

(15)多路模拟开关及其应用实验;

(16)四路优先判决电路实验;

(17)TS、OC门的功能测试及其应用实验;(18)逻辑笔实验与分析实验;

(19)施密特触发器及其应用实验;

(20)数字定时器实验;

(21)触发器应用实验;

(22)时序电路应用实验;

(23)单稳态触发器及其应用实验;

(24)电压变换器实验;

(26)模数,数模转换电路实验

2)模拟部分实验内容:

(一)分立元件电路实验

1、基本单级放大电路;

2、两级放大电路;

3、负反馈放大电路;

4、射级跟随器;

5、差动放大电路;

6、比例求和运算放大电路;

(二)集成运算放大电路

7、模拟运算电路

电压跟随器

反向比例放大器

同相比例放大器

反相求和比例放大器

双端输入求和放大电路;

8、积分与微分电路

积分电路

微分电路

微积分电路;

9、波形发生电路

方波发生器

占空比可调的矩形波发生器

三角波发生电路

锯齿波发生电路;

10、有源滤波器

低通滤波器

高通滤波器

带阻滤波器;

11、电压比较器

过零比较器

反相滞回比较器

同相滞回比较器;

(三)其它实验

12、集成电路RC正弦波振荡;

13、集成功率放大器;

14、整流滤波与并联稳压电路;

15、串联稳压电路;

16、集成稳压电路;

17、RC正弦波振荡器;

18、LC振荡器及选频放大器;

19、电流/电压转换电路;

20、电压/频率转换电路;

21、互补对称功率放大器;

22、波形变换电路

3)电路分析基本实验:

1、常用电子元件的特性认识(

2、3端元件);

2、伏安特性的测试;

3、受控源特性的研究;

4、R、C电路特性;

5、1、2阶电路的瞬态(时域)响应;

6、双口网络的研究。

4)EDA实验内容

1、单元电路设计实验:

(1)常用门电路设计;

(2)编码器/译码器设计;

(3)触发器及时序电路设计;

(4)同步/异步计数器设计;

(5)键盘扫描实验;

(6)加法器实验

2、综合设计实验:

(1)SSI组合电路的设计与冒险竞争观察;(2)MSI组合电路的设计;

(3)可读写寄存器的设计。

3、研究创新实验:

(1)数字频率计;

(2)数字电子钟设计;

(3)十字路口交通灯自动控制器的设计;(4)出租车计费器的设计;

(5)数字滤波器设计;

(6)DAC、ADC模型设计;

(7)数字模拟综合系统设计

四、实验系统配置

1、数电/模电/EDA实验箱一台(含电源)

2、交流电源线一根

3、在系统逻辑可编程模块一块(或多块选配)

4、在系统模拟可编程模块(多块选配)

5、并行下载电缆一根

6、模拟电路实验板一块(多块选配)

7、实验指导书一套

8、实验导线若干根

产品说明:

本综合实验系统能够完成“电路基础”、“模拟电子技术”、“数字电子技术”、“ISP在系统编程EDA实验”、“VHDL设计”、“电子系统综合设计”等课程的实验。在实验方式上采用全新理念,保留了经典的传统基础功能模块验证性实验,增加了对中大规模复杂系统的设计、分析和管理实验,为学生提供了二次开发的良好环境,加强了学生对系统设计概念的培养和实践,以适应电子系统日趋数字化、复杂化和大规模集成化发展的需要,真正做到学用结合,为创新性人才的培养打下良好基础。

模电仿真实验 共射极单管放大器

仿真实验报告册 仿真实验课程名称:模拟电子技术实验仿真仿真实验项目名称:共射极单管放大器 仿真类型(填■):(基础■、综合□、设计□) 院系:专业班级: 姓名:学号: 指导老师:完成时间: 成绩:

一、实验目的 (1)掌握放大器静态工作点的调试方法,熟悉静态工作点对放大器性能的影响。 (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 (3)熟悉低频电子线路实验设备,进一步掌握常用电子仪器的使用方法。 二、实验设备及材料 函数信号发生器、双踪示波器、交流毫伏表、万用表、直流稳压电源、实验电路板。 三、实验原理 电阻分压式共射极单管放大器电路如图所示。它的偏置电路采用(R W +R 1)和R 2组成的分压电路,发射极接有电阻R 4(R E ),稳定放大器的静态工作点。在放大器的输入端加入输入微小的正弦信号U i ,经过放大在输出端即有与U i 相位相反,幅值被放大了的输出信号U o ,从而实现了电压放大。 在图电路中,当流过偏置电阻R 1和R 2的电流远大于晶体管T 的基极电流I B 时(一般5~10倍),则它的静态工作点可用下式进行估算(其中U CC 为电源电压): CC 21W 2 BQ ≈ U R R R R U ++ (3-2-1) C 4 BE B EQ ≈I R U U I -= (3-2-2) )(43C CC CEQ R R I U U +=- (3-2-3) 电压放大倍数 be L 3u ||=r R R β A - (3-2-4) 输入电阻 be 21W i ||||)(r R R R R += (3-2-5) 图 共射极单管放大器

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

模电仿真实验报告。

模拟电路仿真实验报告 张斌杰生物医学工程141班 MUltiSim软件使用 一、实验目的 1、掌握MUltiSim软件的基本操作和分析方法。 二、实验内容 1、场效应管放大电路设计与仿真 2、仪器放大器设计与仿真 3、逻辑电平信号检测电路设计与仿真 4、三极管Beta值分选电路设计与仿真 5、宽带放大电路设计与仿真 三、MUItiSim软件介绍 MUItiSim是美国国家仪器(NI)有限公司推出的以WindOWS为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用MUItiSinl交互式地搭建电路原理图,并对电路进行仿真。MUltiSiIn提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPlCE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过MUItiSiIn和,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到和测试这样一个完整的综合设计流程。 实验名称:

仪器放大器设计与仿真 二、实验目的 1、 掌握仪器放大器的设计方法 2、 理解仪器放大器对共模信号的抑制能力 3、 熟悉仪器放大器的调试功能 4、 掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器,毫伏 表信 号发生器等虚拟仪器的使用 三、设计实验电路图: 四、测量实验结果: 出为差模放大为399mvo 五、实验心得: 应用MUIti S im 首先要准备好器件的PSPiCe 模型,这是最重要的,没有这个 东西免谈,当然SPiCe 高手除外。下面就可以利用MUItiSinl 的元件向导功 能制作 差模分别输入信号InW 第二条线与第三条线: 共模输入2mv 的的电压,输出为2mv 的电压。 第一条线输

EDA设计II实验报告——多功能数字钟

『EDA设计II』 课程实验报告 姓名 学号 学院 指导教师 时间 2011年 05月

多功能数字钟 摘要:本实验利用Quartus II软件设计多功能数字钟并下载到Smart SOPC实验系统,实现校分、校时、清零、保持和整点报时等多种基本功能,以及闹钟等附加功能。本实验首先通过Quartus II 软件对各模块进行原理图设计,并进行仿真调试,最后下载至实验平台验证其功能。 关键词:多功能数字钟Quartus II软件仿真封装校分校时清零保持整点报时闹钟 Abstract:The experiment is to design a multi-purpose digital clock by Quartus II and then download to the test system of Smart SOPC. It can realize many functions such as minute adjusting, hour adjusting, resetting, keeping and reporting time on integral hour. Apart from this, it can also be used as a alarm clock. First of all, we design the schematic diagram of every part. In addition, we simulate through Quartus II. At last, we download it to the tests platform and test the function. Key words:multi-purpose digital clock Quartus II simulate seal minute- adjusting hour adjusting resetting keeping reporting time on integral hour alarm clock

eda数字时钟实验报告

EDA数字时钟电工电子实习 实验报告 姓名 班级 学号20

一、实验目的: 1、掌握多位计数器相连的设计方法。 2、掌握十进制、六十进制和二十四进制计数器的设计方法。 3、巩固数码管的驱动原理及编程方法。 4、掌握CPLD技术的层次化设计方法。 二、实验要求: 基本要求:具有时、分、秒计数显示功能,以二十四小时循环计时。 扩展要求:具有整点报时功能。 三、实验原理: 计数时钟由模60秒计数器、模60分计数器、模24小时计数器、蜂鸣器(用于整点报时)、分/时设定模块、输出显示模块构成,秒计数模块的进位输出为分钟计数模块的进位输入,分钟计数模块的进位输出为小时计数模块的进位输入。 74163功能简介:

图1 图2 由图1可知,74163的脉冲上升沿的时候工作。 四、实验过程

1.模60计数器(如图3) 图3 由74163实现计数功能,第一片74163实现10进制,即做0-9的循环,9即二进制的1001,化简可得当q[0]与q[3]同时为1的时候进行清零。第二片74163实现6进制,即做0-5的循环,5即二进制的111,化简可得当q[4]与q[6]同时为1的时候进行清零,同时第一片74163的进位端作为第二片的脉冲端。这样就可实现60进制。60进制计数器用于秒计数器和分计数器,秒个位的进位端作为秒十位的脉冲端秒十位的进位端作为分个位的脉冲端,分个位的进位端作为分十位的脉冲端。 2.模24计数器(如图4) 图4 分十位的进位端作为时个位的脉冲端,时个位的进位端作为时十位的脉冲端。因为24进制的特殊性,当十位是0和1的时候,个位做十进制循环,即0-9,9的二进制为1001;当十位是2的时候,个位做0-3的循环。而十位做0-2的循环。2的二进制为0010,3的二进制为0011。所以第一片74163不仅要在q[14]与q[17]同时为1的时候清零,还要在第二片74163的q[19]、第一片的q[14]、q[15]同时为1(即23时)做清零。第二片是3进制,在q[19]=1的时候进行清零。

EDA实验实验报告

数字eda实验实验报告 学院:计算机科学与工程学院专业:通信工程学 号: 0941903207 姓名:薛蕾指导老 师:钱强 实验一四选一数据选择器的设计 一、实验目的 1、熟悉quartus ii软件的使用。 2、了解数据选择器的工作原理。 3、熟悉eda开发 的基本流程。 二、实验原理及内容 实验原理 数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路, 可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行 输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路 信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux。 4选1数据 选择器: (1)原理框图:如右图。 d0 、d1、d2、d3 :输入数据 a1 、a0 :地址变量 由地址码决定从4路输入中选择哪1路输出。 (2)真值表如下图: (3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信 号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一 定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。 三.实验内容 1、分别采用原理图和vhdl语言的形式设计4选1数据选择器 2、对所涉及的电路进行 编译及正确的仿真。电路图: 四、实验程序 library ieee; use ieee.std_logic_1164.all; entity mux4 is port( a0, a1, a2, a3 :in std_logic; s :in std_logic_vector (1 downto 0); y :out std_logic ); end mux4; architecture archmux of mux4 is begin y <= a0 when s = 00 else --当s=00时,y=a0 a1 when s = 01 else --当s=01时,y=a1 a2 when s = 10 else --当s=10时,y=a2 a3; --当s取其它值时,y=a2 end archmux; 五、运行结果 六.实验总结 真值表分析: 当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3. 篇二:eda实验报告模版 《eda技术》实验报告

模电仿真实验1

实验1:EWB仿真软件练习 ——晶体三极管放大电路特性研究 一、实验内容 1. 创建如图1.1所示的实验电路,并为元器件标识,参数设置。 2. 测量静态工作点I BQ、I CQ、U CEQ,用示波器测量电压放大倍数U A,用波特图仪测量频率特性,测量通频带BW。 3. 调节Rp1、Rp2 ,用示波器观察因工作点的改变而引起的输出波形失真。重新调节Rp1、Rp2恢复原值,使波形失真消除。 4.利用参数扫描功能,分析Co从0.1μF到100μF变化时对f1的影响。 二、仿真实验 1. 创建电路, 给电路中的全部元器件按图要求标识,参数设置,然后单击Circuit/Schematic Options出现对话框,在“Display”选项框内,勾选“Show Notes”,这时EWB 自动给各节点编号,并显示在电路图上。 图1.1 晶体三极管放大电路特性研究实验电路 2. 给虚拟仪器设置参数 电压表 Mode:DC Resistance:100MΩ(考虑三级管输入电阻较高,为减小误差取高内阻)

电流表 Mode:DC Resistance:取默认值1nΩ 函数发生器 波形:正弦波 Frequency:1KHz Duty cycle:50% Amplitude:50mV Offset:0 示波器 Time base:0.50ms/div “X/T”显示方式 Channel A:50mV/div y position:0.00 “AC”工作方式 Channel B:500mV/div y position:0.00 “AC”工作方式 Trigger:“Auto”方式 Channel A 输入线设为黑色,Channel B输入线设为红色,则输入信号波形为黑色,输出信号波形为红色。 波特图仪 幅频特性 Vertical: log F:60dB I:0dB Horizontal: log F:1GHz I:1Hz 相频特性 Vertical: log F:360度 I: -360度 Horizontal: log F: 1GHz I: 1Hz 3. 单击“O/I”开关,运行电路,再单击“Parse”按钮,暂停运行。 ⑴. 从电压表、电流表读出静态工作点的值为: I B=19.76μA I C=2.064mA V CE=V C-V E=9.940V-1.102V=8.838V ⑵. 双击示波器图标,打开示波器面板,单击“Expand“扩展面板,观察到波形如图1.2,拖拽读数指针,测得: U A=V OP—P / V IP—P =-1.3674V / 98.196mV=-13.9 图1.2 输入输出电压波形

EDA数字钟实验报告

目录 1.设计思路—————————————————————(3) 1.1总体结构——————————————————(3) 2.方案论证与选择——————————————————(3) 3.单元模块设计部分—————————————————(3)3.1 CNT10 模块的设计———————————————(4)3.2 CNT6 模块的设计———————————————(5)3.3 CNT101模块的设计———————————————(6)3.4 CNT61模块的设计———————————————(7) 3.5 CNT23模块的设计———————————————(8) 4.系统仿真—————————————————————(9) 4.1数字钟的引脚锁定———————————————(9) 4.2数字钟原理图————————————————(12) 4.3数字钟仿真图————————————————(10) 4.4数字钟编译报告———————————————(11) 5.参考文献————————————————————(13)

EDA数字钟设计 中文摘要: 数字钟学习的目的是掌握各类计数器及它们相连的设计方法;掌握多个数码管显示的原理与方法;掌握FPGA技术的层次化设计方法;掌握用VHDL语言的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,以24小时为计数循环;能实现清零,调节小时,分钟以及整点报时的功能。 关键词:数字钟,计数器,,FPGA,VHDL 1.设计思路 基于VHDL语言,用Top—To--Down的思想进行设计。 1.1 确定总体结构,如图1-1所示。 图1-1 2. 方案论证与选择 方案:设置小时和分,输出整点报时信号和时,分,秒信号。方案采用自顶向下的设计方法,它由秒计数模块,分计数模块,小时计数模块和顶层模块四部分组成。 3. 单元模块设计部分 RES是整个系统的复位键,低电平有效,复位时,各个输出都为零,时间显示0时0分0秒;clk是输入时钟,提供秒信号,上升沿触发,每出发一次,时间增加一秒;HRTMP,MIN10TMP,MINTMPKEYI可以分别设置小时位,10分位,分位,起到调时的作用,高电平有效,有效时,每来一个CLK时钟(1s),所对应的位都将以各自的计数循环; RING是整点报时。

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

数字钟实验报告

EDA技术课程设计 ——多功能数字钟 学院:城市学院 专业、班级:电子C154 姓名:高阳夏岩 学号:158102 58128 指导老师:安亚军 2017年12月

一实验目的 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时LED灯花样显示 二实验原理 1时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 4蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答.滴答”的报警声音。 5LED灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从而产生“花样”信号 三实验内容 1时钟记数部分 1)小时部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下

2)分钟部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下 3)秒部分 其VHDL描述如下

编译,无误。 经仿真,其波形如下 2整点报时部分,其VHDL描述如下 编译,无误。

经仿真,其波形如下 3驱动8位八段共阴扫描数码管的片选驱动信号输出部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下 4驱动八段字形译码输出部分 该模块功能:信号输入后,模块驱动八段字形译码输出,A,B,C,D,E,F,G分别接八段共阴级数码管7个接口,即有字形输出。

模电实验报告

模拟电子技术基础实验报告 姓名:蒋钊哲 学号:2014300446 日期:2015.12.21

实验1:单极共射放大器 实验目的: 对于单极共射放大电路,进行静态工作点与输入电阻输出电阻的测量。 实验原理: 静态工作点的测量是指在接通电源电压后放大器输入端不加信号(通过隔直电容将输入端接地)时,测量晶体管集电极电流I CQ和管压降V CEQ。其中集电极电流有两种测量方法。 直接法:将万用表传到集电极回路中。 间接法:用万用表先测出R C两端的电压,再求出R C两端的压降,根据已知的R E的阻值,计算I CQ。 输出波底失真为饱和失真,输出波顶失真为截止失真。 电压放大倍数即输出电压与输入电压之比。 输入电阻是从输入端看进去的等效电阻,输入电阻一般用间接法进行测量。 输出电阻是从输出端看进去的等效电阻,输出电阻也用间接法进行测量。 实验电路:

实验仪器: (1)双路直流稳压电源一台。 (2)函数信号发生器一台。 (3)示波器一台。 (4)毫伏表一台。 (5)万用表一台。 (6)三极管一个。 (7)电阻各种组织若干。 (8)电解电容10uF两个,100uF一个。 (9)模拟电路试验箱一个。

实验结果: 经软件模拟与实验测试,在误差允许范围内,结果基本一致。

实验2:共射放大器的幅频相频 实验目的: 测量放大电路的频率特性。 实验原理: 放大器的实际信号是由许多频率不同的谐波组成的,只有当放大器对不同频率的放大能力相同时,放大的信号才不失真。但实际上,放大器的交流放大电路含有耦合电容、旁路电容、分布电容和晶体管极间电容等电抗原件,即使得放大倍数与信号的频率有关,此关系为频率特性。 放大器的幅频特性是指放大器的电压放大倍数与输入信号的频率之间的关系。在一端频率范围内,曲线平坦,放大倍数基本不变,叫作中频区。在中频段以外的频率放大倍数都会变化,放大倍数左右下降到0.707倍时,对应的低频和高频频率分别对应下限频率和上限频率。 通频带为: f BW=f H-f L 实验电路:

数字时钟设计实验报

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路

模电PSPICE仿真实验报告

实验一晶体三极管共射放大电路 实验目的 1、 学习共射放大电路的参数选取方法。 2、 学习放大电路静态工作点的测量与调整,了解静态工作点对放大电路性能的影响。 3、 学习放大电路的电压放大倍数和最大不失真输出电压的分析方法 4、 学习放大电路数输入、输出电阻的测试方法以及频率特性的分析方法。 、实验内容 确定并调整放大电路的静态工作点。 为了稳定静态工作点,必须满足的两个条件 条件一: 条件二: I 1>>I BQ V>>V BE I I =(5~10)I B V B =3~5V R E 由 V B V BE V B 再选定 I EQ I CQ 计算出Re R b2 I I ,由 V B V B I I (5~10)I B Q 计算出 m - Vcc V B R b1 再由 V CC V B (5~10)I BQ 计算出 Ri

Time 从输出波形可以看出没有出现失真,故静态工作点设置的合适。 改变电路参数: V1 12Vdc Rc 此时得到波形为: 400mV 200mV 0V -200mV 450us 500us 75k 3k 4.372V R2 50k Q1 Q2N2222 Re 2.2k C2 T 一 6.984V 10uF 彳Ce 100uF

2.0 V -4.0V 0s 50us 100us 口V(C2:2) V(C1:1) 150us 200us 250us 300us 350us 400us 450us 500us Time 此时出现饱和失真。 当RL开路时(设RL=1MEG Q)时: V1 输出波形为:

4.0V -4.0V 出现饱和失真 二、实验心得 这个实验我做了很长时间,主要是耗在静态工作点的调试上面。按照估计算出的Rb1、Rb2、Re的值带入电路进行分析时,电路出现失真,根据其失真的情况需要不停的调 节Rb1、Rb2和Re的值是电路输出不失真。 实验二差分放大电路 -、实验目的 1、学习差分放大电路的设计方法 2、学习差分放大电路静态工作的测试和调整方法 3、学习差分放大电路差模和共模性能指标的测试方法 二、实验内容 1. 测量差分放大电路的静态工作点,并调整到合适的数值。

模电仿真实验报告。

模电仿真实验报告。

————————————————————————————————作者:————————————————————————————————日期:

模拟电路仿真实验报告 张斌杰生物医学工程141班学号6103414032 Multisim软件使用 一、实验目的 1、掌握Multisim软件的基本操作和分析方法。 二、实验内容 1、场效应管放大电路设计与仿真 2、仪器放大器设计与仿真 3、逻辑电平信号检测电路设计与仿真 4、三极管Beta值分选电路设计与仿真 5、宽带放大电路设计与仿真 三、Multisim软件介绍 Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 一、实验名称: 仪器放大器设计与仿真 二、实验目的 1、掌握仪器放大器的设计方法 2、理解仪器放大器对共模信号的抑制能力 3、熟悉仪器放大器的调试功能 4、掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器,毫伏表信 号发生器等虚拟仪器的使用 三、设计实验电路图:

简单数字电子钟的设计实验报告

《EDA技术》课程实验报告 学生姓名: 所在班级: 指导教师: 记分及评价: 一、实验名称 实验1-3:简单数字电子钟的设计(原理图输入设计方法) 二、任务及要求 【基本部分】 1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采 用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。要求具备 使能功能和异步清零功能,设计完成后封装成一个元件。 2、同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法, 完成一个60进制同步计数器的设计,并进行时序仿真。要求具备使能功能和异步 清零功能,设计完成后封装成一个元件。 3、利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一 个简单的数字电子钟并进行时序仿真,要求具有时分秒功能显示功能、使能功能和 异步清零功能。 4、由于实验箱数码管采用的动态扫描方式,本实验暂时只要求仿真,硬件验证到实验 7再完成。 【发挥部分】 1、思考:采用反馈清零法设计的计数器与反馈置数法有何不同请用实例进行仿真。 2、如何实现电子钟时分秒连续可调的功能 三、原理图 二十四进制原理图

六十进制原理图 数字电子钟原理图

四、仿真及结果分析 24进制时序仿真图 24进制计数器采用的是两片74160集成块,利用同步置数原理,在第23个脉冲的时候跳转为零。这时个位计数器g3到g0的数值时0011,十位计数器的s3到s0的数值时0010。另外,使能断也应接入到与非门的中,与非门的作用是防止受干扰发生误写。 60进制时序仿真图 60进制计数器采用的是两片74160集成块,利用同步置数原理,在第59个脉冲的时候跳转为零。这时个位计数器g3到g0的数值时1001,十位计数器的s3到s0的数值时0101。另外,使能断也应接入到与非门的中,与非门的作用是防止受干扰发生误写。 电子时钟时序仿真图 电子时钟计数器采用的是两片60进制的计数器与一片24进制的计数器组成的,连接到一起就可以组成电子时钟计数器,要注意的是如果前面的24进制计数器与60进制计数器的使能短没接入与非门的话,可能会时钟脉冲的波形不是严格的按要求翻转。 五、小结 这次实验课,让我们更加了解了集成块74160的结构,学会使用集成块组成任意进制计数器。使用74160构成计数器时,应该注意使能端的使用、时钟脉冲信号多少,那些会影响仿真波形,但是,如果时钟脉冲多了的话,仿真波形就会在不该跳转的时候跳转。 实验中出现了很多问题,从发现错误到解决问题中自己学到了许多,明白了学习要善于思考,这样才能把自己的才能激发出来。这次的实验让我对学习EDA更加感兴趣,也增加我对这本课程的了解。

EDA数字钟的设计实验报告

五邑大学实验报告 实验课程名称: EDA实验 院系名称:信息工程学院 专业名称:通信工程(物联网) (一)实验目的: 设计并实现具有一定功能的数字钟。掌握各类计数器及它们相连的设计方法,掌握多个数码管显示的原理与方法,掌握FPGA的层次化设计方法,掌握VHDL语言的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,能实现清零,调节小时,分钟以及整点报时的功能。 (二)实验器材: 计算机一台,EDA实验箱一台。 (三)实验原理:

实验内容: 1.正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟,60秒钟的计数器显示。 2.按键实现“校时”“校分”功能; 3.用扬声器做整点报时。当计时到达59’50”时鸣叫。 方案:利用试验箱上的七段码译码器(模式7),采用静态显示,系统时钟选择1Hz。整个系统可以是若干文件组成,用PORT MAP 实现的方式;也可以是一个文件用多进程方式实现;亦或者是用文本和图形混合的方式实现;亦或者是用LPM参数化模块实现。 (五)实验步骤: 1. 新建一个文件夹,命名为shuzizhong. 2. 输入源程序。打开QuartusⅡ,选择File→new命令。在New窗口中的DesignFiles 栏选择编译文件-的语言类型,这里选择VHDL File选项。然后在VHDL文本编译窗口中输入秒模块程序。

秒模块源程序如下: library ieee; use SECOND is port(clk,clr:in std_logic;----时钟/清零信号 sec1,sec0:out std_logic_vector(3 downto 0);----秒高位/低位 co:out std_logic);-------输出/进位信号 end SECOND; architecture SEC of SECOND is begin process(clk,clr) variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数 begin if clr='1' then----当ckr为1时,高低位均为0 cnt1:="0000"; cnt0:="0000"; elsif clk'event and clk='1' then if cnt1="0101" and cnt0="1000" then----当记数为58(实际是经过59个记时脉冲)co<='1';----进位 cnt0:="1001";----低位为9 elsif cnt0<"1001" then----小于9时 cnt0:=cnt0+1;----计数 else cnt0:="0000"; if cnt1<"0101" then----高位小于5时 cnt1:=cnt1+1; else cnt1:="0000"; co<='0'; end if; end if; end if; sec1<=cnt1; sec0<=cnt0; end process; end SEC; 3.文件存盘。选择File→Save As命令,找到已经设立的文件夹,存盘文件名应与实体名一致。 4.创建工程。打开并建立新工程管理窗口,选择File→New Project Wizard命令,即弹出设置窗口,命名为57。

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告 实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了

解共射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 10k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

模电实验报告直流稳压电源设计.

模拟电路仿真实验 实验报告 班级: 学号: 姓名:

集成直流稳压电源的设计 一、实验目的 1. 学习用变压器,整流二极管,滤波电容及集成稳压器设计直流稳压电源。 2. 掌握直流稳压电路的调试及主要技术指标的测试方法。 3. 利用仿真实验,深入理解整流滤波的原理。 二、设计指标与要求 设计指标:设计两个电路: (1)电路一:同时输出V 12±电压,A I o 8.0max =。 (2)电路二:V V 9~3o ++=连续可调,A I 8.0max o =。 (3)两者的性能指标:mV V p 5op ≤?-。,3 105-?≤U S 。 三、实验原理与分析 直流稳压电源的基本原理 直流稳压电源一般由电源变压器T 、整流滤波电路及稳压电路所组成。 基本框图如下。各部分作用: 1. 电源变压器T 的作用是将220V 的交流电压变换成整流滤波电路所需要的交流电压U i 。 变压器副边与原边的功率比为P 2/P 1=n ,式中n 是变压器的效率。 2. 整流电路:整流电路将交流电压U i 变换成脉动的直流电压。再经滤波电路滤除较大的波 纹成分,输出波纹较小的直流电压U 1。常用的整流滤波电路有全波整流滤波、桥式整流滤波等。 3. 滤波电路: 整流 电路 U i U o 滤波 电路 稳压 电路 电源 变压器 ~ 直流稳压电源的原理框图和波形变换

各滤波电路C 满足R L -C=(3~5)T/2,式中T 为输入交流信号周期,R L 为整流滤波电路的等效负载电阻。 4. 稳压电路: 常用的稳压电路有两种形式:一是稳压管稳压电路,二是串联型稳压电路。二者的工作原理有所不同。稳压管稳压电路其工作原理是利用稳压管两端的电压稍有变化,会引起其电流有较大变化这一特点,通过调节与稳压管串联的限流电阻上的压降来达到稳定输出电压的目的。它一般适用于负载电流变化较小的场合。串联型稳压电路是利用电压串联负反馈的原理来调节输出电压的。集成稳压电源事实上是串联稳压电源的集成化。实验中为简化电路,我们选择集成稳压器(三端稳压器)作为电路的稳压部分。集成稳压器的W7800系列输出正电压5V 、6V 、9V 、12V 、15V 、18V 、24V ,输出电流为1.5A (W7800)、0.5A (W78M00)、0.1A (W78L00);W7900系列输出负电压-5V 、-6V 、-9V 、-12V 、-15V 、-18V 、-24V ,输出电流为1.5A (W7900)、0.5A (W79M00)、0.1A (W79L00)。 四、计算机仿真部分 1、半波整流电路 仿真电路图如图所示。

EDA课程设计 数字时钟

第一章摘要 在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。 在此次EDA课程,我的设计课题是闹钟,使用MAX+plusⅡ系统进行电路设计及通过下载于硬件连接完成闹钟的显示。报告书主要由设计方案、模块介绍、仿真波形图和管脚锁定及硬件连线四部分组成。设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。 第二章设计说明 一、设计要求 1、设计简易的一分钟闹钟; 2、可手动输入定时时间(0~59s),如30s; 3、两个静态数码管上跟踪显示时间的变化:如30,29,28……到了指定时间蜂鸣器发出5s的提示音; 4、采用2个静态数码管显示时间; 5、用蜂鸣器发出提示音; 6、8位数字开关设置定时时间。 二、设计思路 根据上述的设计要求,整个系统大致包括如下几个组成部分:它包括以下几个组成部分:1)显示屏,由2个静态数码管组成,用于显示当前设置的闹钟时间并进行跟踪显示; 2) 8个数字开关,用于输入闹钟时间; 3) 复位键,确定新的闹钟时间设置,或显示已设置的闹钟时间;

4) 蜂鸣器,在当数码管由设置时间结束到零时,发出5s蜂鸣声; 5)倒计时,由2片74168构成减法计数器。 三、模块介绍 1.74168功能介绍 74168是十进制加减计数器,U/ND为加/减计数控制端,其为高电平时74168工作在加法计数器状态,当为低电平时74168工作在减法计数状态;ENPN、ENTN为计数控制端(低电平有效);LDN为同步并行置入控制端,当LDN为低电平时,在CLK上升沿作用下,输出端与数据输入端一致;TCN进位输出端(低电平有效)。 2.倒计时模块 倒计时部分由2片74168组成,分别为倒计时的低位与高位。通过将低位的借位输出端与高位的使能端相连,当低位输出借位信号(即低电平)时,高位74168芯片开始工作,实现减法计数器功能。倒计时的输出由静态数码管跟踪显示。同时将低位与高位74168的输出通过3个或非门的连接(见倒计时原理图),将输出信号经过处理后送入低位74168芯片的使能端。当输出减为00时,输出信号经过处理后送入低位74168芯片的使能端使芯片封锁,使数码管保持00状态。 3.蜂鸣器模块 此模块由74160、D触发器及蜂鸣器组成。其中将74160接成同步五进制计数器,用于设定蜂鸣器的鸣响时间。而倒计时部分的74168高位芯片的借位信号当做是D触发器的触发信号,然后将它的输出信号与经过处理之后当做蜂鸣器的控制信号。D触发器触发时,74160开始计数,同时蜂鸣器开始鸣响。当74160计数到5时74160被置0,同时输出的低电平与D触发器的输出信号通过与门进行与运算后向蜂鸣器输入低电平,使蜂鸣器停止鸣响以达到对蜂鸣器鸣响时间的控制。

相关主题