搜档网
当前位置:搜档网 › 《EDA技术》课程教学大纲

《EDA技术》课程教学大纲

《EDA技术》课程教学大纲
《EDA技术》课程教学大纲

《EDA技术》课程教学大纲

1、课程的性质和任务

电子信息技术的迅猛发展,使现代电子产品的设计技术发生了革命的变化,这就是国外已广泛采用的电子设计自动化(EDA)技术。利用EDA技术,电子系统工程师可快速方便地实现数字系统的集成。为了适应电子信息技术发展的潮流和国际竞争对人材的需要,在高职高专应用电子专业中进行EDA技术的教学已成为当务之急。

本课程的任务是:通过课堂教学和学生实际实验和课程设计的锻炼,使学生掌握EDA技术相关的基本知识,掌握现代数字系统的设计思想和方法,并具有动手设计简单电子系统的能力。

本课程的先修课程为“计算机基础”、“C语言程序设计”、模拟电子技术和数字电子技术基础。学习“计算机基础”和“C语言程序设计”可以使学生对计算机的基本操作和程序设计思想有一个基本的理解和掌握,而学习模拟电子技术和数字电子技术基础则是学生对电子线路的理解、分析、测试以及进行设计的基本基础。EDA技术就是以计算机为工具,利用EDA软件进行电子电路的设计,它使得硬件设计软件化,是现代电子产品设计的核心技术。

2、教学内容和学时

课程教学共82学时,其中项目教学53课时,课程设计30学时。

3、教材和参考资料

1)教材

《CPLD/FPGA与ASIC设计实践教程》陈赜编著,科学出版社,2005年9月

2)参考资料

①《用Verilog HDL设计电子线路》 Stefan Sjoholm, Lennart Lindh著,边计年薛宏熙译,清华大学出版社

②《CPLD技术及其应用》宋万杰等编著,西安电子科技大学出版

③《Verilog HDL硬件描述语言与数字逻辑电路设计》侯伯亨顾新编著,西安电子科技大学出版社

④《VHDL实用教程》潘松编著,电子科技大学出版社

4.考核

课程考试考核的模式,由以往单一的理论考试模式向知识与技能、理论与实践相结合的一门课程多次、多方面的“模块化”考核模式转变,采用这种新型的考核模式,注重学生学习过程,更能激发学生的学习动力,培养学生实践能力,“考”出学生的综合能力,突出职业教育的特点。

学生成绩分两部分:课程成绩和课程设计成绩。

1、课程成绩:以平时考勤、课后作业、实验考核和理论考核相结合的方式进行综合考核。其比例为:平时考勤10%+课后作业10%+实验考核40%+理论考核40%。

实验考核:以平时考勤,单项实验考核和实验报告相结合的方式进行考核。比例为:平时考勤20%+实验考核50%+实验报告30%。

2、课程设计成绩:

平时考勤 20%+项目考核60%+报告和总结20%。

EDA技术的概念

EDA技术的概念 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA 技术,主要针对电子电路设计、PCB设计和IC设计。 EDA设计可分为系统级、电路级和物理实现级。 2 EDA常用软件 EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、 PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim 等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。 (下面是关于EDA的软件介绍,有兴趣的话,旧看看吧^^^) 下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC 设计软件、PLD设计工具及其它EDA软件,进行简单介绍。 2.1 电子电路设计与仿真工具 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。 说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部分采用的是虚拟仿

EDA技术基础实验报告

《EDA技术基础》 实验报告 学院:信息科学技术学院 专业:电子信息工程 指导教师:龙翔 完成日期:2013年12月 目录 实验一MAX-plusll 及开发系统使用 (3) 实验二高速四位乘法器设计 (6)

实验三秒表的设计 (9) 实验四序列检测器的设计 (13) 实验五数字频率计的设计 (18) 六实验总结 (20) 实验一 一:实验名称: MAX-plusll 及开发系统使用

二:实验内容 1.利用MAX-plusII中的图形编辑器设计一半加器,进行编译、仿真, 并将其设置成为一元件。 2.建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真,并将其设置成为一个元件。 3.再建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真。 4.选择器件“Assign”“Device”“MAX7000S”“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。然后下载,进行硬件测试,检验结果是否正确。 三.实验程序 1).半加器图 2)全加器图

3)四位全加器 四:仿真图 1).半加器仿真图

2).全加器仿真图 3).四位全加器仿真图

实验二 一:实验名称 高速四位乘法器设计 二: 实验内容 1.利用MAX-plusⅡ中的图形编辑器设计1-4的二进制乘法器,进行编译、仿真,并将其设置成为一元件,命名为and14。 2.建立一个更高得原理图设计层次,利用前面生成的1-4的二进制乘法器和调用库中的74283元件设计一高速4位乘法器。 三:实验程序 1.

1什么是EDA技术

1什么是EDA技术? EDA技术是现代电子信息工程领域中一门新技术,它提供了一种基于计算机和信息技术为一体的电子系统设计方法,它的发展和推广极大地推动了电子工业的发展,已成为电子工业中不可缺少的一项主要技术。 2基于EDA技术的电子系统设计有哪些特点? 以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机和PLD实验开发系统为设计工具,通过相关的开发软件,自动完成电子系统设计,最终形成集成电子系统或专用集成芯片。 3基于可编程逻辑器件的EDA技术,主要包括哪几方面的内容? 大规模可编程逻辑器件,硬件描述语言,软件开发工具,实验开发工具。 4EDA技术的发展经历了哪几个阶段?每个阶段有什么特征? CAD阶段,设计人员主要借助计算机完成PCB板的布局布线设计,简单的版图绘制,以及电路性能的模拟,逻辑仿真和预测。CAED阶段,进行系统的设计描述综合与优化设计结果的验证,以及自动布局布线等。ESDA阶段,ESDA工具是以系统设计为核心,不仅具有电子系统设计的能力,而且还具有高级抽象的设计构思手段。 5目前较为流行的EDA开发工具?AITERA公司的MAX+PLUS2和QUARTUS2,LATTICE公司的ispDesignEXPERT和ispLEVER,Xilinx公司的Foundation和ISE等。 6什么是可编程逻辑器件?他们有哪些类型? 可编程逻辑器件是一种由用户借助计算机编程,来实现某一逻辑功能的器件。按集成度分类:LDPLD和HDPLD,按编程工艺分类:熔丝或反熔丝编程器件,浮栅编程器件,SRAM编程器件,按基本结构分类:阵列型单元型器件,按逻辑单元分类:与或阵列宏单元查找表多路开关。 7简述可编程逻辑器件的发展历程及其特点?可编程逻辑器件经历了从PROM,PLA,PAL,GAL到EPLD,CPLD,FPGA 的发展过程,不仅在结构工艺集成度功能速度等方面有了很大的改进,而且在稳定性可靠性灵活性上也有了显著提高。 8FPGA和CPLD的中文含义是什么?他们有什么区别? 现场可编程门阵列器件,复杂可编程逻辑器件。 FPGA采用查找表方式工作设计,CPLD采用乘积项方式工作设计。 9什么事SOC?什么是SOPC? 系统芯片,可编程系统芯片。 11FPGA和CPLD各有什么特点?两者在储存信息方面有什么区别? FPGA具有密度高编程速度快设计灵活和可重新配置等优点。CPLD使用更方便,设计更灵活,发展也更迅速。 FPGA基于SRAM技术,掉电后内部配置丢失,要外加配置芯片,CPLD基于FLASH 技术,掉电后内部配置不丢失 12什么是硬件描述语言? 是一种用文本的形式来描述硬件电路的功能内部结构信号连接关系和定时关系语言。13常用的硬件描述语言有哪几种?他们在逻辑描述方面有什么区别? VHDL,Verilog HDL,ABEL-HDL和AHDL VHDL采用模块化的设计方法具有更高的抽象层和系统描述能力。Verilog HDL适合于RTL和门电路级的描述。ABEL-HDL支持各种行为的输入方式和层次结构的逻辑描述。AHDL适合于描述复杂的组合逻辑,组运算,状态机,真值表和参数化的逻辑。 14VHDL语言的全称是什么? 超高速集成电路硬件描述语言。 15目前被IEEE接纳的硬件描述语言有哪几种?他们是什么时候成为IEEE标准的?VHDL和Verilog DHL两种。VHDL1987年12月Verilog HDL1995年 17什么叫逻辑功能部件?什么叫数字系统?他们有什么区别? 通常将逻辑门电路和触发器等单元电路称为逻辑器件,而将有这些逻辑器件组成的能完成某单一功能的电路称为逻辑功能部件。

EDA技术的认识和体会

EDA技术的认识和体会 摘要:本学期我对EDA技术进行了学习,通过学习,我掌握了部分EDA技术的知识。本学期对 EDA 技术的学习为我的专业知识学习打开了一个全新的窗口——微电子技术领域。对EDA 技术,我更是有了全新的认识。微电子技术的进步主要表现在大规模集成电路加工技术即半导体工艺技术的发展上,使得表征半导体工艺水平的线宽已经达到了纳米级。所以,集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展。而现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA 技术。 EDA技术的特点和优势 技术就是依赖功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言 HDL 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA 技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA 软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。 EDA 技术在进入21 世纪后,得到了更大的发展。嵌入式处理器软核的成熟,使得SOPC 步入大规模应用阶段。电子技术领域全方位融入EDA 技术,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生了重大的变化。同时,EDA 使得电子领域各学科的界限更加模糊,更加互为包容。这些都利于设计人员利用 EDA 技术进行电子系统设计,如全定制或半定制ASIC 设计,FPGA/CPLD 开发应用和印制电路板。从 EDA 技术的特点不难看出,相比于传统的数字电子系统或 IC 设计,EDA 技术拥有独特的优势。在传统的数字电子系统或 IC 设计中,手工设计占了较大的比例。因此,也存在很多缺点。例如:复杂电路的设计、调试十分困难;由于无法进行硬件系统仿真,如果某一过程存在错误,查找和修改十分不便;设计过程中产生大量文档,不易管理;可移植性差等。相比之下,EDA 技术有很大不同。它运用HDL 对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。由于有各类库的支持,能够完成各种自动设计过程。它极大地简化了设计文档的管理,逻辑设计仿真测试技术也日益强大。 VHDL 在现在的EDA 设计中使用最多,也拥有几乎所有主流EDA 工具的支持。 EDA工具 EDA工具在EDA技术应用中占据极其重要的位置,EDA的核心是利用计算机完成电子设计全过程自动化,因此,基于计算机环境的EDA软件的支持是必不可少的。EDA工具大致可以分为如下5个模块:设计输入编辑器;仿真器;HDL综合器;适配器(或布局布线器);下载器。 VHDL语言基础

《EDA技术及应用》全套教学教案

单元一教学设计 教学内容: 单元一EDA技术 学习任务1 EDA技术 一、认识课程 二、认识EDA技术 三、认识EDA技术的基本特征 学习任务2 可编程逻辑器件芯片 一、认识可编程逻辑器件 二、CPLD基本结构 三、FPGA基本结构 四、Altera公司的可编程逻辑器件汇报总结 评价与考核

教学设计与建议 教学设计:通过学习和查阅资料了解EDA技术,了解EDA技术的基本特征,并熟悉可编程逻辑器件的种类。了解CPLD和FPGA基本结构,熟悉 Altera公司的可编程逻辑器件。 教学建议:建议学生查找EDA技术发展与可编程逻辑器件应用相关资料,进行总结制作PPT,并进行汇报。 知识目标: 1.了解EDA技术 2.了解EDA技术的基本特征 3.了解可编程逻辑器件的种类 4.了解CPLD基本结构 5.了解FPGA基本结构 6.了解Altera公司的可编程逻辑器件 教学重点及难点: 教学重点:可编程逻辑器件种类 教学难点:熟悉Altera公司的可编程逻辑器件 教学载体与资源: 教学资源:教材、PPT、实训室、多媒体设备。 教学方法建议: 讲授与讨论相结合,查阅资料总结汇报。 教学过程: 1.下达任务和要求 2. 教师带领学生共同解析任务 3.学生展开讨论

4.学生查阅资料 5. 总结汇报 考核评价: 1.根据知识掌握情况评价 2.根据资料查找能力和小组汇报情况评价 教学板书: 任务1:EDA技术 认识课程 1.EDA技术是什么? 2.为什么学习EDA技术? 3.EDA技术学什么? 4.EDA技术怎么学? 相关知识 1.认识EDA技术 EDA(Electronic Design Automation,电子设计自动化)技术是帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。 2.认识EDA技术的基本特征 (1)“自顶向下”设计方法 (2)硬件描述语言 (3)逻辑综合和优化 (4)开放性和标准化 (5)库的引入

什么是EDA技术

1.什么是EDA技术? 答:EDA是指电子设计自动化。指以PLD为设计载体,以HDL为系统逻辑描述的主要方式,以计算机、开发软件、开发系统为设计工具,通过相关的开发软件,自动完成将用软件方式设计的电子系统转化成硬件电路,最终形成集成电子系统或ASIC的一门新技术。 2.一般把EDA技术的发展分为CAD、CAE和EDA三个阶段,并向着ESDA方向发展。3.EDA技术的精髓是什么?它们各自的含义是什么? 答:精髓是建模、仿真、综合。建模指的是用硬件描述语言描述电路的功能;仿真指的是验证所建模型的电路功能;综合是指把软件模型转化为硬件电路。 4.EDA技术在应用设计领域主要包含哪四个方面的内容(1)HDL (2)PLD (3)EDA工具软件(4)EDA开发系统。 5.EDA技术的基本特征(1)自顶向下的设计方法;(2)采用硬件描述语言;(3)高层综合和优化;(4)并行工程;(5)开放性和标准化。 6.当前最流行的并成为IEEE标准的硬件描语言是V HDL和Verilog-HDL。 7.什么是PLD? 答: PLD,Programmable-Logic-Device,即可编程逻辑器件。是一种具有内建结构、由用户编程以实现某种逻辑功能的新型逻辑器件。 8.SPLD的基本结构框图是什么? 9.一般CPLD器件至少包含可编程逻辑宏单元,可编程I/O单元和可编程内部连线3种基本结构。 一般FPGA器件至少包含可编程逻辑功能块/CLB、IOB/可编程I/O块和PI/可编程内部互连三类可编程资源。 10.用PROM完成半加器/全加器的示意图。 11.使用方框图示意出采用硬件描述语言设计硬件电路进行由上而下的设计的三个层次为: 12.可编程逻辑器件的发展趋势在哪5个方面(1)向更大规模、更高集成度的片上系统方向发展(2)向低电压、低功耗的绿色器件方向发展(3)向更高速可预测延时的方向发展(4)向在PLD内嵌入多种功能模块的方向发展(5)向模数混合可编程的方向发展13.目前,在PLD器件制造与生产领域的三大公司为Altera、Xilinx和Lattice 14.FPGA的发明者是Xilinx公司;ISP编程技术的发明者是Lattice公司。 16、目前常见的可编程逻辑器件的编程和配置工艺包括基于E2PROM/Flash技术、基于 SRAM查找表的编程单元和基于反熔丝编程单元。 17、基于EPROM、E2PROM和快闪存储(flash)器件的可编程器件,在系统断电后编程信

EDA课程心得

EDA课程学习心得 这学期的后半期,我们开了EDA技术这门课程。EDA的中文解释是电子设计自动化,这门课程主要是用于对现代高新电子产品的设计,EDA在硬件方面融合了大规模集成电路技术,是一款综合性很强的工具。 这门课程的学习在教学中应该以实践为主,我们每周有两节课,一节是理论课学习,一节是实践课。刚开始上理论课程的时候,主要是对该软件的使用做介绍,而在实践课程上,我们应该对软件进行运用,但是,有很多的同学却没有干与课程相关的事,只有一部分的同学在练习。开始的时候,对软件很陌生,都是几个同学在一起研究,并且询问老师,才慢慢的掌握了使用方法。在后面的理论学习中,老师主要是讲解编程的一些语法,并且只讲了一些常用的,像信号量,变量,还有PROCESS语句等,这些是编程中常用的一些知识。在实践课上,主要是以实验指导书为主,根据指导书上的内容进行编程,画图仿真来对EDA技术的运用有更深入的理解。在每周一节理论课的学习情况下,很多的时间都是很珍贵的,学习理论的时间就那么一点,那么,肯定就不可能学习的很全面,老师主要是讲方法,更多的是要我们自己努力。这本教材还很不错,讲解的很详细,让初学者也能理解。然后实践课程是可以在课后也能练习,课上发现问题就能及时的询问老师,但是,课后就只能询问同学,或者是将问题留到课堂上再问老师。 这门课程学完最大的感触就是学习的时间太短了,这门课程听老师说对我们的以后工作是有很大的帮助的,但是我们却只学习了半个学期,只用了32个课时就结束了,这肯定是不够的。EDA技术可以完成各种自动设计过程,是目前最为瞩目的一项技术,它有强大的逻辑设计仿真测试技术。它的仿真测试技术只要通过计算机,就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后,还能对系统上的目标器件进行所谓的边界扫描测试。这一切都极大的提高了大规模的系统电子设计的自动化程度。现在的很多设计工作都需要先进行计算机仿真,如果没有错误,在运用到实际的硬件中,这不仅能提高设计速度,还能减少因为设计失误而造成的原料浪费。学好一门仿真软件对于我们本科学生是必不可少的,因为以后毕业了如果从事设计方向的工作,那必然要求我们有这样的一门技术。 以下是我在这门课程的学习过程中总结的几点建议,希望老师能够耐心的看

EDA技术现状及发展趋势

EDA 技术现状及发展趋势 摘要: 通过介绍EDA 技术发展过程、现状, 及发展趋势,和EDA 在当今电子技术领域的所起到的作用, 比较了EDA 技术与传统电子设计方法的差异, 总结出EDA 技术的优势与发展趋势。 关键词: EDA 技术,硬件描述语言 前言 EDA 是电子设计自动化( Electronic Design Automation) 的缩写。它是一门正在高速发展的新技术, 是以大规模可编程逻辑器件为设计载体, 以硬件描述语言为系统逻辑描述的主要表达方式, 以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具, 通过有关的开发软件, 自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化, 逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作, 最终形成集成电子系统或专用集成芯片。

EDA 技术的概念和基本特点 EDA 技术是伴随着计算机、集成电路、电子系统的设计发展起来的, 至今已有30 多年的历程, 大致可以分为三个发展阶段:第一阶段为20 世纪70 年代的CAD( 计算机辅助设计) 阶段: 这一阶段的主要特征是利用计算机辅助进行电路原理图编辑、PCB 布线, 使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。 第二阶段为20 世纪80 年代的CAED( 计算机辅助工程设计) 阶段: 这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心, 重点解决电路设计的功能检测等问题, 使设计能在产品制作之前预知产品的功能与性能。 第三阶段为20 世纪90 年代是EDA( 电子设计自动化) 阶段: 这一阶段的主要特征是以高级描述语言、系统仿真和综合技术为特点, 采用自上而下的设计理念, 将设计前期的许多高层次设计由EDA 工具来完成。 EDA 是电子技术设计自动化, 也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用, 使设计更复杂的电路和系统成为可能。在原理图设计阶段, 可以使用EDA 中的仿真工具论证设计的正确性。在芯片设计阶段, 可以使用EDA 中的芯片设计工具设计制作芯片的版图。在电路板设计阶段, 可以使用EDA 中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA 工具的出现, 使复杂数字系统设计自动化成为

EDA技术习题

第一章EDA技术概述 填空题 1.一般把EDA技术的发展分为_______、_______和________三个阶段。 2.在EDA发展的_________阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PCB)布局布线等工作。 3.在EDA发展的_______阶段,人们可与将计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将许多单点工具集成在一起使用。 4.EDA设计流程包括_________、__________、__________和_________四个步骤。 5.EDA的设计验证包括________、__________和_________。 6.EDA的设计输入方式主要包括________、________和_________。 7.文本输入是指采用_________进行电路设计的方式。 8.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为_______。 9.时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为 ________或_______。 10.当前最流行的并成为IEEE标准的硬件描述语言包括_________和________. 11.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为_______的设计法。 12.EDA工具大致可以分为________、_______、_______、________以及_____等5个模块。 13.将硬件描述语言转换为硬件电路的重要工具称为_______。 单项选择题 1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( ). ①设计输入②设计输出③仿真④综合 2.在设计输入完成后,应立即对设计文件进行() ①编辑②编译③功能仿真④时序仿真 3.在设计处理工程中,可产生器件编程使用的数据文件,对于CPLD来说是产生() ①熔丝图②位流数据③图形④仿真 4.在设计处理过程中,可产生供器件编程使用的数据文件,对于FPGA来说是生成() ①熔丝图②位流数据③图形④仿真 5.在C语言的基础上演化而来的硬件描述语言是() ①VHDL ②Verilog HDL ③AHD ④CUPL 6.基于硬件描述语言HDL的数字系统设计目前最常用的设计法称为()设计法。 ①底向上②自顶向下③积木式④定层 7.在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为()。 ①仿真器②综合器③适配器④下载器 8. 在EDA工具中,能完成在目标系统器件上布局布线软件称为() ①仿真器②综合器③适配器④下载器 1.4同步练习参考答案 填空题 1. CAD、CAE、EDA CAD

eda课程设计心得体会

eda课程设计心得体会 写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。 eda课程设计心得体会一这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

EDA技术课程设计报告

贵州大学 EDA技术课程设计报告 题目:BCD码加法器 院系计算机科学与技术学院 专业计科121 学号1208060061、1208060058、1208060065 学生姓名张飞宇、王红强、匡金军 指导教师夏玉勤

设计BCD码加法器 一、设计任务及要求 (1)课程设计意义: 对BCD码加法有了进一步了解; 学习了quartus II设计软件,初步了解了FPGA; (2)设计要求: 利用Verilog HDL语言,编写一个4位BCD码加法器程序,输入用八个开关分别表示两个BCD码,输出结果用数码管显示。 主要芯片:2个全加器(4008BD)、开关、数码管(2个),其他元件、门电路任选 (3)主要功能: 输入两个4位BCD码,相加结果显示在数码管上。 我组在要求的功能上添加了一些代码,使输入结果也能在数码管上显示。 (4)设计思路: 图1 简易结构图 如图1所示:A,B分别是2个输入数字,S0-S1为输出,经过BCD 加法器的运算,能实现BCD码加法功能。 (1)T=A+B,若T>10,则Z赋值为10,同时进位(2)C=1,和值低位(3)S0=T-Z,和值高位(4)S1=C。 二、基于Verilog语言的电路设计、仿真、综合 硬件及软件电路设计及描述

图2 顶层模块图 图3 RTL (1)数据的产生与输入 通过J1~J8八个单刀双掷开关在+5V和GND之间的切换来产生两个4位8421BCD码作为输入的数据,当开关打到+5V时输入数据1,打到GND时输入数据0。其中J1~J4分别为数据A3~A0,J5~J8分别为数据B3~B0,且A3~A0、B3~B0 的位权依次降低(8421)。 (2)加法电路 把上面得到的两个四位8421BCD码分别输入4008BD全加器的输入端A3~A0、B3~B0,同时CIN输入端接低电平。则S3~S0输出计算结果,COUT为

EDA技术与应用

EDA技术与应用 电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。以下是关于EDA技术与应用,欢迎大家参考! EDA 技术已有30 年的发展历程,大致可分为三个阶段。70 年代为计算机辅助设计(CAD阶段,人们开始用计算机辅助进行IC版图、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。 一、EDA技术的基本特征 EDA 代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。 1.“自顶向下”的设计方法10 年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。高层次设计是一种

“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层 进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。 2.ASIC 设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设 计。ASIC按照设计方法的不同可分为全定制ASIC半定制ASIC和可编程ASIC (也称为可编程逻辑器件)。 设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC 厂家去进行掩模制造,做出产品。这种设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、 功耗低,而缺点是开发周期长,费用高,只适合大批量产品开发。 半定制ASIC 芯片的版图设计方法分为门阵列设计法和标准单 元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。 可编程逻辑芯片与上述掩模ASIC的不同之处在于:设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩

eda技术软件有哪些

eda技术软件有哪些 时间:2010-09-21 16:59:16 来源:作者: eda常用技术软件有哪些呢? EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA 的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。 EDA常用软件 EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、ViewLogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具

都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时以可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。 1、电子电路设计与仿真工具 电子电路设计与仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。下面简单介绍前三个软件。 (1)SPICE(Simulation Program with Integrated Circuit Emphasis)是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE (Personal—SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。 (2)EWB(Electronic Workbench)软件 是InterActive ImageTechnologies Ltd 在20世纪90年代初推出的电路仿真软件。目前普遍使用的是EWB5.2,相对于其它EDA软件,

EDA仿真实验报告

EDA仿真实验报告 姓名: 学号: 班级:

一.实验目的 1.了解EDA技术的发展、应用。 2.学习Multisim的使用。 二.实验内容 1.与非门实现四舍五入 2.用74LS138和必要的门电路设计一个表决电路 3.用74LS85设计四位数值比较器 三.实验软件与环境 1.EDA技术 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。 2.EDA的应用 EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。 主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。

3.Multisim Multisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。 本实验使用了NI Multisim 14.0 软件。 四.实验内容与步骤 1.与非门实现四舍五入电路(只能用与非门) 真值表: 逻辑表达式:F=m(5,6,7,8,9)

2.用74LS138和必要的门电路设计一个表决电路 真值表: F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MBC+ABC 电路方面要注意输出为低电平,所以要用与非门。

《EDA技术基础》复习资料

EDA复习资料 《EDA技术基础》题库及参考答案 (试用版) 目录 一、填空题 ....................................................................................................................................... I 二、单选题 (4) 三、简答题 (10) 四、应用题 (11) 五、上机实验题 (15)

一、填空题 1.现代电子技术经历了CAD 、CAE 和EDA 三个主要的发展阶段。 2.EDA技术包括大规模可编程器件、硬件描述语言HDL 、EDA工具软件和实验开发系统四大要素。 3.EDA的设计输入主要包括文本输入方式、图形输入方式和波形输入方式三种形式。 4.目前已经成为IEEE标准、应用最为广泛的硬件描述语言有VHDL 和Verilog HDL 。仿真是一种对所设计电路进行间接检测的方法,包括_ 功能仿真和_ 时序仿真。 5.层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成的。先从底层的电路设计开始,然后在___高层次___的设计中逐级调用低层次的设计结果,直至实现系统电路的设计。 6.用HDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块独立存在和独立运行____。 7.可编程逻辑器件从结构上可分为乘积项结构器件和查找表结构器件。 8.PLD(FPGA、CLPD)种类繁多,特点各异。共同之处包括的三大部分是逻辑块阵列、输入/输出块和互连资源。 9.FPGA两类配置下载方式是主动配置方式和被动配置方式。 10.Quartus II是EDA器件制造商Altera公司自己开发的___EDA工具___软件。 11.Quartus II工具软件安装成功后、第一次运行前,还必授权。 12.Q uartus II支持原理图、__文本和波形等不同的编辑方式。 13.在Quartus II集成环境下,设计文件不能直接保存在计算机磁盘根目录中,因此设计者在进入设计之前,应当在磁盘根目录中建立保存设计文件的工程目录(文件夹)。 14.在Quartus II集成环境下执行原理图输入设计法,应选择___模块/原理图文件(Block Diagram/Schematic File ).__方法,设计文件的扩展名是__ .bdf_______。 15.无论何种设计环境,VHDL设计文件都__ .vhd_______的扩展名保存,而Verilog HDL设计文件应以__ .v_______的扩展名保存。 16.设计文件输入结束后一定要通过编译(Compiler),检查设计文件是否正确。 17.在Quartus II集成环境下可以执行Create Default Symbol 命令,为设计文件创建一个元件符号。这个元件符号的扩展名为.bsf_____,它可以被其他图形设计文件调用,以实现多层次的系统电路设计。 18.指定设计电路的输入/输出端口与目标芯片引脚的连接关系的过程称为__引脚锁定____。 19.Quartus II中波形文件的扩展名是__ .vwf_______。 20.在完成设计电路的输入/输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称为___时序仿真__或__后仿真___。 21.以EDA方式实现的电路设计文件,最终可以编程下载到__ FPGA_ _或_ CPLD _芯片中,完成硬件设计和验证。 22.在对设计文件编程下载时,需要选择的ByteBlaster(MV)编程方式,此编程方式对应计算机的___并行口编程下载通道,“MV”是混合电压的意思。 23.一般将一个完整的VHDL程序称为设计实体。 24.V HDL设计实体由库和程序包、实体、结构体、和配置等部分构成。其中___实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。 25.V HDL的设计实体由实体声明部分和结构体组成。 26.V HDL的实体声明部分指定了设计单元的输入/输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分;VHDL的结构体用来描述设计实体的逻辑结构和

EDA技术与VHDL总结提纲初稿

EDA技术与VHDL总结提纲初稿 提示: 详细阅读相关参考书上的例子程序,参考书课后习题,掌握程序编制的思路,有时间的朋友可以在实验平台上实际操作。 知识点绪论 a)应用VHDL进行系统设计的基本步骤。 b)应用VHDL进行系统设计与传统的数字电路设计的优势。 c)CPLD/FPGA和单片机,DSP,ARM等其他控制器比较,其优势是什么?(为什么 要用CPLD/FPGA?) d)基本名词:CPLD,FPGA,JTAG,ISP,ASIC等的含义? 知识点VHDL入门 a)简单VHDL程序的结构,教材的例子,请仔细研读。 b)基本逻辑门的电路符号。 知识点VHDL程序结构 a)实体:深刻理解实体的含义,实体的语法格式,给出电路符号能够写出实体,给出 实体能画出电路的符号。 b)结构体:深刻理解结构体的含义,结构体的语法格式,了解结构体的子结构。 c)了解子程序的定义格式,说明格式,子程序的使用格式,子程序定义的位置,使用 的位置。 d)了解库的含义,库的使用格式。 e)了解程序包的定义格式,使用格式,程序包使用和定义的位置。 f)进程:深入理解进程的含义,进程的语法格式,进程启动条件,进程的同步。 g)了解块语句的格式。 知识点VHDL的词法单元 a)了解VHDL文字规则。 b)理解VHDL数据对象:变量、信号、常量,注意他们的定义位置,使用位置,区 别。 c)数据类型:了解VHDL的基本数据类型,理解VHDL是一门强数据类型语言,掌 握数据类型的定义格式,了解STD_LOGIC等常用数据类型的含义,定义格式,使 用注意事项。 d)操作符:了解各种常用的操作符,注意操作符使用时操作数的数据类型 知识点顺序语句。 a)顺序语句和并行语句是考核的重点。 b)赋值语句:信号赋值、变量赋值,信号和变量赋值的区别,信号和变量赋值的语法 格式,理解信号赋值具有δ延时。 c)流程控制语句 i.IF语句。 ii.Case语句。 iii.Loop语句。 iv.掌握这三种语句的语法格式,这三种语句的注意事项,这三种语句和C语言的类似语句的区别。 d)wait语句:了解wait语句的语法格式,掌握wait语句在进程中的使用方法,掌握 时钟边沿的描述方法。

eda技术课程总结与心得

【第一章】 1、FPGA芯片的发展主要体现在哪几个方面?未来的发展趋势是什么? (1) 大容量、低电压、低功耗 (2) 系统级高密度 (3) FPGA和ASIC出现相互融合。 (4) 动态可重构 2、EDA技术的优势是什么? 缩短开发周期,有各类库的支持,简化逻辑设计,有利于设计文档的管理,能仿真测试,开发者有自主权,将所有开发环节纳入统一的自顶向下的设计中,有效的利用了计算机的自动设计能力。 3、EDA的设计流程包括哪几个环节? ①设计输入(原理图/HDL文本编辑)②综合③FPGA/CPLD 适配④时序仿真与功能仿真⑤FPGA/CPLD编程下载⑥FPGA/CPLD器件电路硬件检测。 4、硬件描述语言的种类有哪些? VHDL 、Verilog HDL、SystemVerilog、System C 等 5、自顶向下设计方法的优点是什么? 过程大部分由计算机完成,可植性强,便于系统的优化和升级,以及对模型进行及时的修改,以改进系统或子系统的功能,更正设计错误,提高目标系统的工作速度,减小面积耗用,降低功耗和成本等。在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。高效,高稳定性,省时省力,成本较低。 6、ip核可分为哪几类? ①软IP 、②固IP、③硬IP 7、ip在EDA技术的应用和发展中的意义是什么? IP就是将某些功能固化,而当EDA设计也需要这些功能的时候,就可以直接将植入了此功能的IP拿过来直接用,而不用再重新设计。这样既可以提高效率又可以减少设计风险。IP 核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 8、EDA的概念 Electronic Design Automation,电子设计自动化。 简单一点EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言完成设计文件,然后由计算机自动地完成逻辑编译,化简,分割,综合,优化,布局,布线和仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。 9、VHDL与verilog的优缺点的对比 Verilog代码简明扼要,操作灵活方便,使用简单,有效的简化了设计过程。Vhdl语句严谨,有很好的行为级描述能力和系统级描述能力。缺点是代码过于冗长,对数据类型匹配要求过

相关主题