搜档网
当前位置:搜档网 › Debussy 仿真快速上手教程

Debussy 仿真快速上手教程

Debussy 仿真快速上手教程
Debussy 仿真快速上手教程

Debussy 介绍 Debussy 是 NOVAS Software, Inc(思源科技)发展的 HDL Debug & Analysis tool, 这套软体主要不是用 来跑模拟或看波形,它最强大的功能是:能够在 HDL source code、schematic diagram、waveform、state bubble diagram 之间,即时做 trace,协助工程师 debug。 可能您会觉的:只要有 simulator 如 ModelSim 就可以做 debug 了,我何必再学这套软体呢? 其实 Debussy v5.0 以后的新版本,还提供了 nLint -- check coding style & synthesizable,这蛮有用的,可以协 助工程师了解如何写好 coding style,并养成习惯。 下图所示为整个 Debussy 的原理架构,可归纳几个结论: Debussy 有四个主要单元(component),nTrace、nWave、nSchema、nState
nTrace -- Hypertext source code analysis and browse tool (为%Debussy &所开启的主 画面) nWave -- Waveform analysis tool (可由 nTrace 内开启,或直接%nWave &开启) nSchema -- Hierarchy schematic generator nState -- Finite State Machine Extraction and analysis tool
Debussy 本身不含模拟器(simulator),必须呼叫外部模拟器(如 Verilog-XL or ModelSim)产生 FSDB file,其显示波形的单元"nWave"透过读取 FSDB file,才能显示波形或讯号值的变化

快速上手五部曲: (Debussy v.5.2)
1. 2. 3. 4. 5.
Import Files and generate FSDB file Trace between hierarchy browser and source code Trace between hierarchy browser、source code and schematic Trace between hierarchy browser、source code、schematic and waveform nLint
(nState 本文没介绍,有兴趣的读者,请依文后的连结,自行下载 CIC 所提供的 NOVAS 原厂编写教材 参考)
1.
Import Files and generate FSDB file 1-1 启动 Debussy:% Debussy & (此处的 D 大小写都可以,但其它指令的大小写可能就有差别)
开启 nTrace window 如下,此时工作目录下会新建一个"DebussyLog"目录

1-2 Import Files:File \ Import Design...
结果如下图所示:nTrace 视窗中,含有三个区域,Hierarchical Brower、Source code window、 Message window。 (如果不想看波形,只想查看 source code 与 schematic 的关係,您可以直接跳到 step 2-1)

此时 DebussyLog 目录内会有 Debussy.cmd、turbo.log、compiler.log 三个档案。Debussy.cmd 纪 录所有 import 的档案与之后所有的执行程序。我们可以直接引用这个档案来执行上一次我们做过的一连串程序 (refer to 1-5)。

1-3 设定外部模拟器,藉其產生 FSDB file: Tools \ Options \ Preferences...
1-4 切换到 Interactive Mode:Tools \ Interactive Mode (此时 ToolBar 上会出现 Simulation 选单) 开始执行模拟:Simulation \ Run/Continue (模拟结束工作目录下就会產生 dump_i.fsdb )

Source code 目录下,要有先前 ModelSim 所建立的此 project 的 work library,不然会有错误讯息: Failed to access library 'work' at "work"。另外,你不能只是开一个 work 资料夹,而是要真的用 ModelSim 產生 work library,并且把 design compile to the work library 后,step 1-4 才会正确动作。 1-5 结束程式:File \ Exit 1-6 快速启动执行序 把 DebussyLog 目录下的指令记录档 Debussy.cmd 复制到目前工作目录下, 重新编辑此档 (删掉最 后一行的"debExit" 然后储存),引用它来开启 Debussy 可重复之前同样的动作程序 (结果相当於从步骤 1-1 做到 1-4)
% Debussy -play Debussy.cmd & 1-7 其他启动 Debussy 的方法
% Debussy -f xxx.f
(类似开启一个事先编写的批次档,可以同时一次载入多个.v) (类似开启一个事先编写的批次档,可以同时一次载入多个.vhd)
% Debussy -vhdl -f xxx.f

以上都是属於 Import design from file 的方法,另外还有一种方法是 Import design from library。两 者的差异在於: Import design from file 是将 design compile to RAM,而 Import design from library 则是将 design compile to library (default lib. is "work")。 对於比较大的 project,為了避免每次使用 Debussy 都要花时间 compile,建议採用 Import design from library 的方 法,而且 mixed-language design 一定要用 Import design from library 的方法。
Ex. for Verilog files:%vericom -inc -f run.f %Debussy -lib work -top xxx &
Ex. for VHDL files:%vhdlcom -93 -f run.f %Debussy -lib work -top xxx &
2.
Trace between hierarchy browser and source code 2-1 在 Hierarchy browser 点击 A1(FourBitAdder)左侧的符号"+", 可以展开四个 full adder (fa0, fa1, fa2,
fa3)
->
2-2 试著双击 Hierarchy browser 内的 testbed or A1 or fa0 ... 右边的 source code window 会立即切换到相对的 module

也可以利用滑鼠"中键", 将左边的 module name 直接拖曳丢进右边 source code window, 效果相同。 (利用滑鼠中键在不同视窗间拖曳,是 Debussy 中最常用的方法) 2-3 双击上图 source code window 内的"fulladder"(in line 8),软体会自动帮你追踪出引用 fa0 的地方 结果示於下图 -- A1(FourBitAdder)的 fa0 (in line 32);若再双击 fa0(in line 32),则又回到上图。 --> 你可以藉由这个方法,轻易的追踪出 project 内的所有 design 彼此之间的关连性
:恢复上一动作

2-4 除了追踪 designs 之间的关连性,也可以用同样的方法追踪出 signal's drivers and loads。 若双击上图的讯号 c_in (in line 24),将显示该讯号的所有的 drive loads 结果如下图 -- message window 显示,有 4 处 drive c_in,分别在 add4test line 69, 71, 73, 75
用 Show Next
来查看所有的 drive loads (at line 69, 71, 73, 75)

选定 line 69, Trace \ Load
则可用来追踪该讯号的所有"loads"
3.
Trace between hierarchy browser、source code and schematic 3-1 开启 nSchema 有三种方法
* *
(nTrace) Tools \ New Schematic \ ... 双击工具列上的 New Schematic icon
*
把想看 schematic 的部分,直接以滑鼠中键,从 hierarchy browser 拖曳丢进
icon (常用)

下图是把 A1(FourBitAdder)丢进 New Schematic icon 的结果:
如果想直接看 symbol "fulladder"的 source code,先以左键选定(highlight)该元件,然后按右键,从 快速选单选择"Display Source Code" 选择(highlight)第一个 full adder 的第二条输出讯号,然后按 Tools \ New Schematic \Fan-In Cone 试试
分别用来缩小/放大画面,
则是让图形大小自动调整至填满整个视窗(如上图)
回前一画面;
回最上层画面

3-2 双击上图内的元件符号(如第一个 fulladder)可进一步看到其内容/ 细部电路组成(fa0)
3-3 请自行尝试,在 hierarchy browser、source code 与 schematic window 之间,用滑鼠中键互相拖 曳 designs/signals 的效果
4.
Trace between hierarchy browser、source code、schematic and waveform
4-1 接下来的操作,需要利用模拟器所产生的资料(.fsdb),以"nWave"显示讯号波形,有两种可能作法 从 1-6(对 Import files 已作 compile,也有其.fsdb file),接著开启"nWave" 从 1-1~1-2,然后开啟"nWave",载入 1-4 所产生的 dump_i.fsdb。 开启"nWave"的方法有三种 * * * 按(nTrace)工具列的 (New Waveform icon)
(nTrace) Tools \ New Waveform % nWave &

4-1-1 如果是从 1-2 接著打开 nWave window,一开始 nWave 内容是空的,要执行(nWave) File \ Open... 以载入 dump_i.fsdb。
4-1-2 接著按
Get Signals icon,此时就会看到有讯号可以让你选择了
-- 如果你看不到讯号, 把想观察的 design(A1), 直接从(nTrace) Hierarchy browser 拖进 nWave 就可以

4-1-3 (nWave) View \ Zoom \ Zoom All -- 如果你看不到波形,请再执行一次 (nTrace) Simulation \ Run/Continue 就会看到

已在 signal window 选择的讯号, 如果想要删除: 选定要删除的讯号 highlight, 按滑鼠右键选择"Cut" 如果是从 1-6 接著打开 nWave window 的,直接进行 step 4-1-2 即可 4-2 启动 Active Annotation 功能:(nTrace) Source \ Active Annotation 能够在 nWave 选择讯号触发缘,同时在 nTrace 的 source code 的所有讯号符号下方,直接看到数 值的变换

4-3 在 nWave 双击想观察其触发状况的讯号 (如 c_out)
4-4 从(nTrace)Hierarchy browser 双击 A1(FourBitAdder), 按 与 nWave 的变化
Search Forward icon, 看看在 nTrace

5.
nLint 5-1 开启图形介面的 nLint (大小写不能改,n 一定要小写,L 一定要大写)
% nLint -gui &

开启 nLint window 如下,此时工作目录下会新建一个"nLintLog"目录

5-2 Import Files:File \ Import Design... (与 step 1-2 一模一样的操作后,结果如下所示)
5-3 执行 Run \ Compile
5-4 执行 Tools \ Rule Organizer,或按
选择 check 哪些 rules
-- 不想检查的项目,就把 E/D 那一栏的核选框框取消


Quartus ii 10.0教程(包含modelsim仿真)

Quartus ii 10.0教程 说明 本文的部分章节,来源于本人翻译的Terasic DE2-115的英文入门文档。 平台 硬件:艾米电子EP2C8-2010增强版套件 软件:Quartus II 10.0 + ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 ?典型的CAD流程 ?开始 ?新建工程 ?录入Verilog设计 ?编译设计 ?引脚分配 ?仿真设计电路 ?编程及配置到FPGA器件 ?测试设计电路 典型的CAD流程 计算机辅助设计(CAD)软件,使得运用可编程逻辑器件实现所需逻辑电路,变得容易。比如现场可编程门阵列(FPGA)。典型的FPGA CAD设计流程如图1所示。

图1 典型的FPGA CAD设计流程 CAD流程包含以下步骤: ?设计输入——所需电路可通过原理图方式或硬件描述语言方式(如Verilog或VHDL)进行设计。 ?综合——输入的设计被综合进入由逻辑元素(LEs,FPGA芯片提供)组成的电路中。 ?功能仿真——综合电路被测试以验证其功能是否正确,次仿真不考虑时序因素。 ?布局布线——CAD Fitter工具决定网表中定义的LEs如何布置成FPGA芯片中的实际LEs。 ?时序分析——分析已布局布线电路中的不同路径的传播延迟,用以指示所需电路的性能。 ?时序仿真——测试已布局布线电路,验证其是否在功能和时序上都正确。 ?编程及配置——设计的电路,通过编程配置开关,被实现到一个物理的FPGA芯片。 配置开关用于配置LEs和建立所需线路连接。 本指南介绍Quartus II软件的基本特征。展示如何使用Verilog硬件描述语言来设计和实现电路。使用GUI来实现Quartus II指令。通过本份指南,读者将学习到: ?新建工程 ?使用Verilog代码录入设计 ?将综合的电路布局到Altera FPGA ?分配电路的输入输出到FPGA上的指定引脚 ?仿真设计电路 ?编程配置艾米电子EP2C8核心板上的FPGA芯片 1. 开始 在Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。软件每次运行一个工程,并将所有信息保存在单一文件夹中。欲开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件。为了保存本指南的设计文件,在D盘新建introtutorial文件夹。指南者运行的范例为一个简单的双路灯控电路。 打开Quartus II软件,将看到类似于图2的画面。该显示画面包括若干窗口,用户可使用鼠标选择,以访问Quartus II软件的相关功能。Quartus II提供的大多数命令都可用菜单形式来访问。例如,在图2中,在File标签下点击左键,可打开如图3所示的菜单。用左键单击Exit可退出Quartus II软件。

物流仿真实验心得体会

物流仿真实验心得体会

物流仿真实验心得体会 【篇一:物流信息系统实验心得体会】 物流信息系统实验心得体会 2011年十一月一日在交通运输学院实验大楼309机房,我们参观并做了物流信息系统实验。总体来说,这次实验做得还算成功的,效果很不错。因为这次实验设计得很有趣味性,在做的过程中,我们不仅学到了知识,了解了物流的有关程序及其操作步骤,同时也让我们在愉快做实验的同时思考采取不同措施对利润的影响,很有意义。总的来说,这次做的是两个实验,一个是关于物流决策的模拟,另外一个是运输组织的模拟。现在分别对这两个实验的具体操作步骤加以说明,并谈谈自己的感受。 一、物流决策模拟实验 首先说明这两个实验都是由中海2000物流教学系列软件实现的,在这里我便不对该软件进行介绍了,我主要谈谈它的操作步骤。该实验是由六部分构成的,事先由人为设计好,输入一定的数据,通过每一个环节的操作,到最后就会得到相应的利润图像和盈亏状况,自己通过更改相应的数据或者让计算机随机生成相应的数据,便会得到不同的利润,自己的方案还可以同其他的人竞争,很有意思。 1.挑选方式。挑选时配送流程中最关键的功能之一,订单挑选对资源提出了最高要求,是各种仓库最具客户敏感性的的部分。因此在该步骤中,仓库需要布置合适的人员才能使仓库的效率最高同时又能降低成本开支。在该步骤中,实验者可以自己创建,但要输入一定范围的实验背景和一定的服务值,成本和初始收益,然后就可以进入实验。实验是分周期进行的,在一定的周期内,改变服务水平会得到不

同的收益。通过反复地改变服务水平,我们可以得到结论,提高服务水平可以提高收益,但是更多的增加了成本,虽然知名度提升了,但是成本的增加幅度更大,从而使的收益提升的幅度变小了。 2.服务水平。服务水平是企业的一种经营模式,对于不同的企业有不同的战略模式。比如一些资产丰厚的企业,它的战略模式可能就是以服务为主导的,在对客户的服务上有了很大的提升,打出了自己的知名度和品牌,为自己远期占有大份额市场奠定了基础,是一种远期的战略计划。但是通过分析,这对近期的利益获得花费的代价是很大的。由于提高服务水平可以提高收益,但是更多的增加了成本,虽然知名度提升了,但是成本的增加幅度更大,从而使的收益提升的幅度变小了。故对于企业来讲应该采取综合措施才是好办法。 3.安全库存。这也是很重要的步骤,任何企业都必须保证物品的安全。这对综合管理物品提出了更高的要求。只有保证了物品的安全和完整,才能对客户更好地服务,故物品的安全是一切活动的必要条件。 4.扭亏为盈。在这个环节中,如果玩家得到的利润是负的或者自己获得的利润并不是自己理想中的利润时,玩家可以采取一定的措施来使自己扭亏为盈,当然自己所获得利润不可能无限的大。比如提高服务水平,降低运输成本和采购成本,提高出售价格等措施均可以使自己的利润得到改善,但是我们应加以综合考虑,不能只顾其中的一项或者某项,应该多者兼顾,注重系统性。 5.物流竞争。该环节中的竞争包括两个部分,一是合作性竞争, 二是非合作性竞争。前者主要针对的是个人或者是单个的企业,这种竞争模式是把自己当做一个系统,把别人当做一个系统,竞争的是任一个系统的功能,功能强大的顾名思义就是胜利者,即获得的利润大。这种竞争考查的是自己系统内部元素的协调性和相互关联系,各个元素协调的好的竞争力就很有优势。后者针对的是多个企业或者个人,与前者所不同的是,这种模式是把几个企业当成一个完整的系统,不仅要把构成企业各要素的关系协调好,而且企业之间的合作也要合理的调整,才能获得更多的利润。

ModelSim作布局布线后仿真的库问题

ModelSim作布局布线后仿真的库问题 Modelsim是目前最流行的应用最广泛的FPGA仿真器,是Mentor Graphics的子公司Model T echnology开发的。因为Modelsim好学易用,调试方便,仿真速度快,功能强大,所以很多芯片厂商的开发系统都OEM Mentor Graphics公司的Modelsim仿真器,包括Xilinx,Alter a,Lattice和Actel等。Modelsim是一个单内核仿真器,同一个内核可以进行VHDL仿真、V erilog仿真和VHDL/Verilog混合仿真;支持所有的VHDL和Verilog标准;采用直接编译技术 (Direct-Compiled),大大提高了HDL编译和仿真速度。 Modelsim支持三个层次的仿真:RTL仿真、综合后仿真和布局布线后仿真。为了加快仿真速 度,一般情况下设计中调用的库都是已经进行编译过的,然后对设计进行仿真,此时仿真器直接调用库中已经编译过的单元,而不是再次对设计中的单元模块进行编译。所以如果要对设计进行综合后仿真和布局布线后仿真,必须先对设计中调用的库进行编译处理。这也是本文的重点内容。因为每个厂商的库不一样,而且同一个厂商,不同的器件库就有可能不同,所以下面就目前国内比较常用的几个公司的芯片的库问题分别进行探讨。1.Xilinx公司的器件: 其库的处理方式有两种,一种是在Xilinx的网站上,我们可以下载到压缩文件xilinx_lib _4.zip,解压缩后有一个xilinx_lib_4.tcl(将来的版本可能会升级)。单独运行Models im,然后在工具菜单中有一项是执行宏(这里Modelsim5.5 和5.6、5.7有一些差异,不过在5.5中仍可以找到执行宏Execute Macro),运行xilinx_lib_4.tcl后可以看到。 你可以根据你的厂商软件版本选择,指定Xilinx 的安装路径,在Install Path中指定你编 译后的数据将要放的目录位置(可以是任何一个目录,最好是设计者数据盘中的某个目录,因为库编译一次就可以了,重新安装软件不需要重新编译库)。对于Xilinx作布局布线后仿真只需要simprim库即可;如果要作综合后仿真,就需要编译Unisim库;如果设计中调用了 CoreGen产生的核就需要编译CoreGen库。这应该根据设计者的具体情况进行选择。 如果编译完了后,在Modelsim中库会自动变为标准库(注意:Xilinx提供的早期脚本文件作不到这一点)。所谓标准库,也就是说这个库会和IEEE这些库一样,当Modelsim启动时,这 些库会自动加载,里面的单元在VHDL代码中可以随意调用。比如:在布局布线后的VHDL 文 件中大家可以看到Library simprim这样的语句。当然也可以自己手动改变这些库的性质,只用修改在Modelsim安装路径下的Modelsim.ini文件即可。比如:修改后的Modelsim.ini 可以看到为 [Library] std = $MODEL_TECH/../std ieee = $MODEL_TECH/../ieee verilog = $MODEL_TECH/../verilog std_developerskit = $MODEL_TECH/../std_developerskit synopsys = $MODEL_TECH/../synopsys modelsim_lib = $MODEL_TECH/../modelsim_lib

modelsim完美教程

准备事项 1.ModelSim试用版下载 2.范例程序下载(史丹佛大学一门课的期末专题Implememtation of Viterbi Decoder:constrain length K=3, code rate R=1/2, register-exchange) 整个project共含7个Verilog程序:system.v (top-level) |-- clkgen.v |-- chip_core.v |-- controller.v |-- spu.v |-- acs4.v |-- acs1.v (或是另外一个Verilog的简单例子,可以从C:\ SynaptiCAD\ Examples\ TutorialFiles\ VeriLoggerBasicVerilo gSimulation\ add4.v and add4test.v) (或是另外一个VHDL的简单例子,可以从C:\ Modeltech_5.7e\ examples\ adder.vhd and testadder.vhd) ModelSim PE /LE /SE 差别在哪? 本篇文章内容主要在教导软件使用,以Verilog程序为范例。假设各位读者已经熟悉Verilog,废话不多说,让我们马上来见识一下ModelSim ... 快速上手四部曲:建立Project、引进HDL Files、Compile、模拟(Simulate/Loading and Run) 1.建立一个新的Project 1-1 第一次执行程序时,可以从[开始] \ [程序集] \ ModelSim SE \ ModelSim;或是执行ModelSim在桌面的快捷方式

仓库物流仿真实验心得体会

竭诚为您提供优质文档/双击可除仓库物流仿真实验心得体会 篇一:物流仿真试验心得报告 物流仿真试验心得报告 (邱碧云09物流二班20xx1040213) 这次实习是通过软件模拟进行的物流试验,以模拟仿真代替实际操作过程. 一.实习目的 这次试验的目的是我们参与物流软件系统在电脑上的 操作,加深对物流流程的了解和掌握,通过理论和实践相结合,培养我们的创新能力,实际操作能力,为步入社会和工作打下扎实的基础.通过乐龙软件,结合实际情况,了解物流中心模型构造,加深对课本理论知识的认识.通过实验实习,切入了解大型企业产品在生产过程中,流水线操作的过程演示和了解,为以后进入企业,在生产流程这一块,对产品分类装卸程序运行和设计打下基础. 通过物流仿真实验实习,我么了解到,物流仿真技术是 借助计算机技术、网络技术和数学手段,采用虚拟现实方法,对物流系统进行实际模仿的一项应用技术。随着物流系统变

得越来越复杂并且内部关联性越来越强,仿真技术日益成为其研究的重要手段。运用计算机仿真技术对现有的生产物流系统的优化或新生产物流系统的设计,不仅可以避免建立物理试验模拟系统的投资,减少设计成本,而且可以通过计算机技术进行精确计算和验证分析,提高系统方案的可行性。根据物流中心的工艺设备参数和工艺流程建立起来的计算 机仿真系统,可以形成直观立体的三维仿真动画,提供生产系统的生产量,确定瓶颈位置,报告资源利用率。还可以被用来支持投资决定,校验物流系统设计的合理性,通过对不同的物流策略进行仿真实验来找出最优解。仿真运行结束后可根据统计数据生成仿真报告,显示各个物流设备的利用率、空闲率、阻塞率等数据。最后根据仿真报告提供的数据对物流系统的优缺点进行判断,做出科学决策。同时物流仿真可以降低整个物流投资成本。 通过指导书,我们知道目前几个应用较多的大型仿真软 件有automod、witness、arena、Flexsim、em-plant、simanimation、showFlow、Ralc等专业仿真软件。我们主要学习了乐龙软件的操作和应用. 二.这次实验实习,我们接触了五个实验,分别是: 1.通过型物流中心(logisticscenter)的模型构筑 其目的是本章通过“通过型物流中心”的例子来学习利用部件生成器、传送带(直线、分流、弯曲)、部件消灭器、

MATLAB仿真教程

一、设计目的 通过运用MATLAB对函数进行Z域分析和单边带信号的调制与解调,使我们进一步加深对MATLAB的认识和运用,以实现以下目的: 1.本次试验进一步熟悉了MATLAB软件的使用方法及相关的操作。 2.对Z变换及其反变换函数在MATLAB中的调用有了掌握。 3.理论与实际的仿真相结合,更直观的看到结果。 4.观察了单边带信号调制与解调后的图像,加深认识。 二、设计原理 MATLAB是The MathWorks公司在1984年推出的一种商品化软件,它提供了大量丰富的应用函数,并且具有扩充的开放性结构。目前,该软件包涵盖了控制系统应用、数字信号处理、数字图像处理、通讯、神经网络、小波理论分析、优化与统计、偏微分方程、动态系统实时仿真等多学科专业领域。 其中单边带调制信号是将双边带信号中的一个边带滤掉而形成的。根据方法的不同,产生单边带调制信号的方法有:滤波和相移法。 由于滤波法在技术上比较难实现所以在此我们将用相移法对单边带调制与解调系统进行讨论与设计。 三、设计内容和MATLAB图像

1、数字系统的响应 源代码如下: b=[0 1 2 1 0]; a=[1 -0.5 0 0.3 -0.005]; subplot(421);zplane(b,a); title('系统的零极点图'); subplot(422);impz(b,a,21); title('单位脉冲响应'); subplot(423);stepz(b,a,21); title('单位阶跃响应');

N=21;n=0:N-1; x=exp(-n); x0=zeros(1,N); y0=[1,-1]; xi=filtic(b,a,y0); y1=filter(b,a,x0,xi); xi0=filtic(b,a,0); y2=filter(b,a,x,xi0); y3=filter(b,a,x,xi); [h w]=freqz(b,a,21); subplot(424);stem(n,y1); title('零输入响应');grid on; subplot(425);stem(n,y2); title('零状态响应');grid on; subplot(426);stem(n,y3); title('系统的全响应');grid on; subplot(427);plot(w,abs(h)); title('幅频特性曲线');grid on; subplot(428);plot(w,angle(h)); title('相频特性曲线');grid on;

modelsim仿真详细过程

由于我们只需要了解仿真的完整过程,所以不需要自己写源文件和测试文件(也称为testbench)。一下就是简单的源文件和测试文件(亲自测试过)。 //源文件 module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule //测试文件 `timescale 1ns/1ns `include"./compare.v" module comparetest; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1;

#100 a=1;b=0; #100 $stop; end compare compare1(.equal(equal),.a(a),.b(b)); endmodule 有了源文件和测试文件下面就开始用modelsim进行仿真了。 步骤一:新建工程和.v文件(也就是源文件和测试文件) 打开modelsim软件,点击file,选择new—>project 然后就会弹出下面窗口: 然后在project name那一栏写上工程名(随便去,一般是字母),在project location选择工程路径(路径最好没有中文,听说的),然后点OK。进入下个界面:

然后点击小框里面的“create new file”.弹出界面: 在file name中写下源文件名,由于这是比较两数的大小,我取为:compare。在“add file as type”中选择verilog,点OK,然后有:

ModelSim-Altera_6.5仿真入门教程

平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面 1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2.2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。 图2.4 Project标签

3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项: ?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。 图2.6 创建工程文件夹 2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

供应链实训心得体会

本次实训,旨在加深我们对物流管理专业课程综合知识理解的同时具备分析问题和解决的能力。树立全局观念,注重培养我们的物流资料收集、分析、处理和集成能力,计划及执行。强化我们对物流各岗位职业技能的了解,进一步提高制造物流运营方案的设计能力。本次实训内容主要是围绕着供应链管理。三个星期的实训中,我们根据系统软件,分别扮演供应商,制造商,零售商,物流公司,模仿真实的公司运作,通过实践操作,了解物流在实际工作中所遇到的困难。 供应链管理是一种集成的管理思想和方法,它执行供应链中从供应商到最终用户的物流的计划和控制等职能。从单一的企业角度来看,是指企业通过改善上、下游供应链关系,整合和优化供应链中的信息流、物流、资金流,以获得企业的竞争优势。 我们主要是按照拉式的供应链方式操作,从零售商下订单,到制造商生产需要原材料在进行采购,在从上游往下游流动的这么一个过程。先是从零售商下订单,然后制造商接受订单,并按照订单制作出供货计划。根据供货计划,制作出mds主需求计划、mps主生产计划以及mrp物料需求计算,并发出需求通知。物流公司接收到物料需求通知,制作采购计划,生成采购订单发送给供应商。供应商按订单接收后,进行订单备货,并发送给物流公司。物流公司收到物料后,按照配送指令,制作配送计划,将原材料配送给制造商。制造商进行收料后,开始生产,并进行检验,将成品发送至物流公司。物流公司收到成品后,按照配送指令,制作配送计划,配送给零售商。零售商收到成品后,就可以往市场进行销售。整个供应链流程就结束。在供应链系统中,物流公司充当的是第三方物流公司角色,零售商、制造商、供应商都是将配送业务外包由物流公司完成。 我在制造商、供应商、零售商、物流公司中扮演了具体的角色,体会到了供应链上各个节点企业是息息相关的,一个环节的运行需要相应环节的回应,否则寸步难行。例如在做物料齐套时,配套的材料必须严格仔细清点数量,否则无法进行。 通过这次实训的实际操作,使我对整个社会物流有了更加深入的了解,同时也对物流相关性的业务流程有了一定的了解,它把我们日常学习的与专业有关的知识紧密的结合了起来,将我们所学到的专业知识和具体实践相结合,以提高我们的专业素质和能力,让我们对物流公司的营运流程有一个整体的了解。提高学习专业知识的兴趣,真正理解并吸收课堂中所学到的知识,为将来走上工作岗位打下良好基础。篇二:供应链实训小结 实训小结 终于迎来了我们的实训周,我们每个人都充满了热情和期待迎接它的到来。这次实训的主要内容是erp的供应链物流管理,这是我们之前没怎么接触到的,所以这次实训是一次尝试和练习。 关于供应链的实训,它主要包括“总账”、“应收款管理”、“应付款管理”、“采购管理”、“销售管理”、“库存管理”、“存货核算”等这些部分。这次实训内容分为了六个实验,不同实验包括着不同的业务处理。 最开始,也是最基本的就是要建立帐套,在建立之前要注意把系统时间改为实训练习中所要求的时间,这也是每天上机操作的第一件事,虽然是件很小的事,但对后来的操作很重要。建完帐套后紧接着就是设置各项基础档案,这和我们之前的电算化实训一样的,所以我们对这些操作很熟悉,只要细心点输入,基本上没有多大问题。不过这些看起来很基本,很简单,老师第一天只要求我们做这些,她不希望我们一口吃个胖子,而是将最原始最基本的信息做到准确无误,这样才能保证之后的操作没有问题。真正的难题是从实验三的采购管理开始,开始做里面第一个业务时就花费了很长的时间,怎么填写各种单据,怎么结算,怎么记账,怎么生成凭证,这些都像是新生事物,我们一步一步小心的探索着,生怕出什么差错,所以每次我在做到自己不怎么确定又害怕出错的地方,就将帐套输出,以防万一。不想在这次实训中,我遇到的最惊险的问题就是电脑显示帐套“输出成功”,然而等我回头查看时却只

直流电动机的MATLAB仿真..

第一章课程设计内容及要求 1. 直流电动机的机械特性仿真; 2. 直流电动机的直接起动仿真; 3. 直流电动机电枢串联电阻启动仿真; 4. 直流电动机能耗制动仿真; 5.直流电动机反接制动仿真; 6. 直流电动机改变电枢电压调速仿真; 7. 直流电动机改变励磁电流调速仿真。 要求:编写M文件,在Simulink环境画仿真模型原理图,用二维画图命令画仿真结果图或用示波器观察仿真结果,并加以分析

第二章直流电动机的电力拖动仿真绘制 1)直流电动机的机械特性仿真 clear; U_N=220;P_N=22;I_N=115; n_N=1500;R_a=;R_f=628; Ia_N=I_N-U_N/R_f; C_EPhi_N=(U_N-R_a*Ia_N)/n_N; C_TPhi_N=*C_EPhi_N; Ia=0;Ia_N; n=U_N/C_EPhi_N-R_a/(C_EPhi_N)*Ia; Te=C_TPhi_N*Ia; P1=U_N*Ia+U_N*U_N/R_f; T2_N=9550*P_N/n_N; figure(1); plot(Te,n,'.-'); xlabel('电磁转矩Te/'); ylabel('转矩n/rpm'); ylim([0,1800]); figure(2); plot(Te,n,'rs'); xlabel('电磁转矩Te/'); ylabel('转矩n/rpm');

hold on; R_c=0; for coef=1:;; U=U_N*coef; n=U/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te; plot(Te,n,'k-'); str=strcat('U=',num2str(U),'V'); s_y=1650*coef; text(50,s_y,str); end figure(3); n=U_N/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te; plot(Te,n,'rs'); xlabel('电磁转矩Te/'); ylabel('转矩n/rpm'); hold on; U=U_N;R_c=; for R_c=0::; n=U/C_EPhi_N-(R_a+R_c)/(C_EPhi_N*C_TPhi_N)*Te; plot(Te,n,'k-'); str=strcat('R=',num2str(R_c+R_a),'\Omega'); s_y=400*(4-R_c*; text(120,s_y,str);

ModelSim软件仿真步骤教程

使用ModelSim模擬驗證HDL code 1.在模擬前先準備好我們要模擬的電路檔案(Verilog HDL,TestBench,…) 2. 打開ModelSim,新建一個Project,鍵入Project name 按OK。此處我們的library name 為default library name “work”不必更改。 3.然後再加入我們所要模擬的電路檔案(若尚未準備,可開啟新檔案再將code 鍵入)選Add Existing File,將我們已編輯好的檔案加入。 將我們所需要的檔案加入,按Browse選擇我們所需檔案count.v,

count_test.vt),按下OK。 再將先前所開啟的增加檔案的視窗關閉,按close。 4.按下compile all。

Compile成功沒有顯示出錯誤訊息, 則開始模擬波形 5.按下Simulation, 選擇檔案所在的Library (work), 點選TestBench的Module Name t_Gap_finder 按OK 6.承接上步驟將會跳出以下視窗,若要將所有訊號加入波型中觀察則選擇在 testbench的module name: count_tst按滑鼠右鍵選擇→ Add → Add to Wave。

7.在波型畫面按下Run All開始模擬 跑完後會跳出下面視窗選擇否則可觀察模擬波形,若按下是則會將ModelSim關閉。

8.觀察波形圖是否與功能符合,若與設計不符則修改設計並重複執行Step 4到 Step 8 Testbench語法 `timescale 1 ps/ 1 ps 前面的1ps代表程式中最小的時間單位 後面的1ps代表運算的精準度

modelsim使用 + 前仿真 + 后仿真 + verilog

Modelsim 6.0 使用教程 1. Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 2.安装 同许多其他软件一样,Modelsim SE同样需要合法的License,通常我们用Kengen产生license.dat。 ⑴.解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选 择是。出现Modelsim License Wizard时选择Close。 ⑵.在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后 复制到该文件夹下。 ⑶.修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量-> (系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了, 请用“;”将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat

物流实训报告心得体会(共8篇)

物流实训报告心得体会(共8篇) 篇一:关于物流实训心得体会关于物流实训心得体会 为了更好的适应以后的学习和工作,在本学期的上半学期。在这短短的半学期里我们主要感官物流业的宏观和微观发展以及主要的物流流程。 通过这段时间的学习,使我对我国的物流行业现状有一定的了解。中国物流业大多是运输、仓储业转变而来的,各方面的设施设备都还不够完善,管理有的还保留原有的管理模式。现在国内物流企业很多作业都无法运用到现代技术。课本上知识的现代化在现实中根本无法看到,从而得出,理论与现实有很大的差距,也看得出我国的物流还没有发展到完全信息化、自动化。 在实训中,我学会了许多书本上学不到的知识,将书本上的理论知识与实践相结合,让我明白了实践是检验真理的唯一标准,只有到实际中去,才能真正认识理论其中的意义。总之,通过学习,我不仅学到了书本上的理论知识,还亲身体验了许多物流的操作业务流程,使自己对物流专业的知识有进一步的了解。感谢学校能为我们提供这样一个高水平现代化的物流实训室,让我们每个物流管理专业的学生都可以获得这样一个宝贵机会来实际体验现代化的物流管理系统和设施,这必定为我们将来工作奠定了一定的经验基础,也

是我们学校对比其他学校物流管理专业学生的明显优势。总而言之,虽然这次实训时间非常短,但却让我的课本知识的再度升华,从感性认识上升为理性认识了。篇二:物流综合实训报告江西经济管理职业学院实训报告课程名称: 专业/班级/组别:101级物流一班 报告人:陈伟学号: 920XX37109 指导教师:实训时间:20XX-9-18—20XX-9-22 实训地点: 报告提交时间:实训目的: 为了更好的适应以后的学习和工作,为了更好的让我们了解社会 树立全局观念,着重培养我们的物流资料收集、分析、处理和集成能 进一步提高社会物流运营方案的设计和营销策划能力,这让我们学到了物流管理作业流程的新知识,让我们为以后的学习和工作打下坚实 实训过程及内容: 实训的内容:基础信息管理 资源管理设备资源管理—>新增填写设备的信息然后确定人力管理设备资源管理—>新增填写人力的信息然后确定车辆管理设备资源管理—>新增填写车辆的信息然后确定供应商管理 供应商—新增供应商—填写供应商的信息—提交

Matlab Simulink 仿真步骤

MATLAB基础与应用简明教程 张明等编著 北京航空航天大学出版社(2001.01) MATLAB软件环境是美国New Mexico大学的Cleve Moler博士首创的,全名为MATrix LABoratory(矩阵实验室)。它建立在20世纪七八十年代流行的LINPACK(线性代数计算)和ESPACK(特征值计算)软件包的基础上。LINPACK和ESPACK软件包是从Fortran语言开始编写的,后来改写为C语言,改造过程中较为复杂,使用不便。MA TLAB是随着Windows环境的发展而迅速发展起来的。它充分利用了Windows环境下的交互性、多任务功能语言,使得矩阵计算、数值运算变得极为简单。MA TLAB语言是一种更为抽象的高级计算机语言,既有与C语言等同的一面,又更为接近人的抽象思维,便于学习和编程。同时,它具有很好的开放性,用户可以根据自己的需求,利用MA TLAB提供的基本工具,灵活地编制和开发自己的程序,开创新的应用。 本书重点介绍了MA TLAB的矩阵运算、符号运算、图形功能、控制系统分析与设计、SimuLink仿真等方面的内容。 Chap1 MATLAB入门与基本运算 本章介绍MATLAB的基本概念,包括工作空间;目录、路径和文件的管理方式;帮助和例题演示功能等。重点介绍矩阵、数组和函数的运算规则、命令形式,并列举了可能得到的结果。由于MA TLAB的符号工具箱是一个重要分支,其强大的运算功能在科技领域有特殊的帮助作用。 1.1 MATLAB环境与文件管理 1.2 工作空间与变量管理 1.2.1 建立数据 x1=[0.2 1.11 3]; y1=[1 2 3;4 5 6]建立一维数组x1和二维矩阵y1。分号“;”表示不显示定义的数据。 MATLAB还提供了一些简洁方式,能有规律地产生数组: xx=1:10 %xx从1到10,间隔为1 xx=-2:0.5:1 %xx从-2到1,间隔为0.5 linespace命令等距离产生数组,logspace在对数空间中等距离产生数组。对于这一类命令,只要给出数组的两端数据和维数就可以了。 xx=linespace(d1,d2,n) %表示xx从d1到d2等距离取n个点 xx=logspace(d1,d2,n) %表明xx从10d1到10d2等距离取n个点 1.2.2 who和whos命令 who: 查看工作空间中有哪些变量名 whos: 了解这些变量的具体细节 1.2.3 exist命令 查询当前的工作空间内是否存在一个变量,可以调用exist()函数来完成。 调用格式:i=exist(…A?); 式中,A为要查询的变量名。返回的值i表示A存在的形式: i=1 表示当前工作空间内存在一个变量名为A的矩阵; i=2 表示存在一个名为A.m的文件; i=3 表示MATLAB的工作路径下存在一个名为A.mex的文件;

QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10仿真(ModelSim)入门教程平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。 图2.1 ModelSim画面

1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。 图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Create Project标签,如图2.4所示。 图2.4 Project标签 3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项:

?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件 ?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。 图2.6 创建工程文件夹 2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。 图2.7 输入工程文件信息 3. 单击OK,关闭本对话框。新的工程文件将会在工程窗口显示。单击Close,以关闭Add Items to the Project。 图2.8 新的设计文件LED_FLOW.v 4. 双击打开LED_FLOW.v文件(注意:若是Verilog文件已经关联了其他的文本编辑器,则双击后在关联的文本编辑器中打开)。 图2.9 LED_FLOW代码输入窗口 在LED_FLOW.v输入下面的测试平台代码:

matlabsimulink初级教程

S i m u l i n k仿真环境基础学习Simulink是面向框图的仿真软件。 7.1演示一个Simulink的简单程序 【例7.1】创建一个正弦信号的仿真模型。 步骤如下: (1)在MATLAB的命令窗口运行simulink命令,或单击工具栏中的图标,就可以打开Simulink模块库浏览器(SimulinkLibraryBrowser)窗口,如图7.1所示。

图7.1Simulink界面 (2)单击工具栏上的图标或选择菜单“File”——“New”——“Model”,新建一个名为“untitled”的空白模型窗口。 (3)在上图的右侧子模块窗口中,单击“Source”子模块库前的“+”(或双击Source),或者直接在左侧模块和工具箱栏单击Simulink下的Source子模块库,便可看到各种输入源模块。 (4)用鼠标单击所需要的输入信号源模块“SineWave”(正弦信号),将其拖放到的空白模型窗口“untitled”,则“SineWave”模块就被添加到untitled窗口;也可以用鼠标选中“SineWave”模块,单击鼠标右键,在快捷菜单中选择“addto'untitled'”命令,就可以将“SineWave”模块添加到untitled窗口,如图7.2所示。

(5) Scope ”模块(示波器)拖放到“untitled ”窗口中。 (6)在“untitled ”窗口中,用鼠标指向“SineWave ”右侧的输出端,当光标变为十字符时,按住鼠标拖向“Scope ”模块的输入端,松开鼠标按键,就完成了两个模块间的信号线连接,一个简单模型已经建成。如图7.3所示。 (7)开始仿真,单击“untitled ”模型窗口中“开始仿真”图标 ,或者选择菜单“Simulink ”——“Start ”,则仿真开始。双击“Scope ” 模块出现示波器显示屏,可以看到黄色的正弦波形。如图7.4所示。 图7.2Simulink 界面

Modelsim 仿真步骤总结

Modelsim 仿真步骤总结 Modelsim 仿真主要有以下三个方面:各版本的方法大体一致。(1)建立库并映射库到物理目录; (2)编译源代码(包括testbench); (3)执行仿真; 下面具体演示每一步的操作方法及流程,为力求简洁,其中有多种操方法的只介绍一种。 一)建立库的演示: 步骤为——启动modelsim>点击file菜单>(Change Directory)>new>(project)>library.注括号内的步骤非必须。 1.1)启动modelsim; (1.2)点击file菜单,此时若需更改路径,可以点击其中的Change Directory.(注modelsim会自动默认路径。我们也可以在1.1步骤之前建立一个文件夹用于modelsim的工程,再将路径更改到我们新建的文件夹路径。)

(1.3)点击file子菜单中的new,选择project用于新建立一个modelsim工程。(虽然此步非必须,但是建立一个新工程有益 于接下来整个仿真文件的管理。)

1.4)点击file子菜单new,再点击new下的library,用于建立 一个库。(仔细观察1.3步,新建工程的同时亦可建立库)。 至此,modelsim仿真第一大步建立库的工作完毕。

二)编译源代码(包括testbench): 大家都已知道在quartus中编译源代码的方法,这里说明一下在modelsim中编译源代码及testbench的方法。 步骤为——建立一个新工程(同时建立库)后,会自动提示你四个选项。如图: 选create new file后提示对话框,(也可以在有源文件的情况下选择add existing file到工程中。例如我们用quartus写好文件后,可以用此方法将quartus中的文件添加到modelsim工程中来)让你命名你即将创建的新文件,完毕后,会自动出现一个空白的编辑窗口,在那里输入源代码和testbench。(提示:这样编写完后点保存,便可以直接保存到上面设臵好的work库中。比起另一种方法,不建工程直接建库,再通过菜单栏source添加源

企业经营仿真实习心得体会

篇一:企业经营综合仿真实习个人年度总结报告模板 仿真综合实习报告 单位类别:渠道商b3 公司名称:欧瑅鞋业有限责任公司 学号: 411071824 姓名:林镇炳 班级: 指导教师:吴秀曼 提交日期:2014 年10 月27 日 广东财经大学华商学院教务处制评语: 指导教师(签名)年月日题目: 目录 一、xxxxx (1) (一)xxxx (二)xxxx (三)xxxx 二、xxxxx 2 …………………………………………………………… 3 …………………………………………………………… 6 …………………………………………………………… 8 ……………………………………………………………实习报告要求与排版字号: 1.个人年度实习报告字数要求不少于1000字。 2.实习报告排版打印统一用a4(21 x 29.7cm)格式。 3.标题用小二号黑体加粗,正文用四号宋体。行距为固定值20磅。 4.页面上边距2.54cm,下边距2.54 cm,左边距3cm,右边距2.2mm; 5.实习报告页码从正文页面起计算。页码字号,选用小四号粗黑体并居中。 6.封面的单位类别指的是生产商、渠道商、外围机构;公司名称是各团队工商注册的公司名称。 7.个人年度总结重在梳理所学所感所悟,并能发现问题提出个人见解。 个人年度总结报告 目录 一、公司基本情况 (1) 1.实习单位基本情况 (1) 2.所从事岗位的概况 (1) 二、仿真实习情况 (2) 1.实习项目及内容 (2) 2.存在问题与改进措施 (3) 三、仿真实习体会感受………………………………………………………4篇二:仿真综合实习心得体会 仿真综合实习心得体会 一、引言 一个月的模拟实习时间已经结束了。在这短短的一个月中,我初步了解到了一间公司在一个模拟市场里面是如何进行运作的。虽然我们只用一个月的时间去走完一个企业三年的运营,但是这一个月却是企业三年运营精华的浓缩,对我来说即是挑战,又是学习的好机会。在采

相关主题