搜档网
当前位置:搜档网 › 计算机组成原理期末复习题

计算机组成原理期末复习题

计算机组成原理期末复习题
计算机组成原理期末复习题

计算机组成原理一、缩写词解释

CPU:中央处理器

ALU:算术逻辑单元

I/O:输入输出接口

RAM:随机存储器

SRAM:静态随机访问存储器

DRAM:动态随机访问存储器

ROM:只读存储器

PROM:用户可编程的只读存储器EPROM:紫外线可擦除可编程只读存储器FLASH:闪速存储器

EEPROM:用电可擦除可编程只读存储器ISA:工业标准总线

EISA:扩展工业标准总线

PCI:外围部件互连总线

USB:通用串行总线

RS—232C:串行通信总线

Cache:高速缓存

FIFO:先进先出算法LRU:近期最少使用算法CRC:循环冗余校验码

A/D:模拟/数字转换器

D/A:数字/模拟转换器DMA:直接存储器存取方式DMAC:直接内存访问控制器LED:发光二极管

FA:全加器

OP:操作码

CISC:复杂指令系位计算机RISC:精简指令系位计算机VLSI:超大规模集成电路LSI:大规模集成电路MAR:存储器地址寄存器MDR:存储器数据寄存器CU:控制单元

CM:控制存储器

二、名词解释

1.计算机系统:由硬件和软件两大部分组成,有多种层次结构。

2.主机:CPU、存储器和输入输出接口合起来构成计算机的主机。

3.主存:用于存放正在访问的信息

4.辅存:用于存放暂时不用的信息。

5.高速缓存:用于存放正在访问信息的付本。

6.中央处理器:是计算机的核心部件,由运算器和控制器构成。

7.硬件:是指计算机实体部分,它由看得见摸得着的各种电子元器件,各类光、电、机设备的实物组成。软件:指看不见摸不着,由人们事先编制的具有各类特殊功能的程序组成。

8.系统软件:又称系统程序,主要用来管理整个计算机系统,监视服务,使系统资源得到合理调度,高效运行。

应用软件:又称应用程序,它是用户根据任务需要所编制的各种程序。

9.源程序:通常由用户用各种编程语言编写的程序。

目的程序:由计算机将其翻译机器能识别的机器语言程序。

10.总线:是连接多个部件的信息传输线,是各部件共享的传输介质。

11.系统总线:是指CPU、主存、I/O设备(通过I/O接口)各大部件之间的信息传输线。

通信总线:是指用于计算机系统之间或者计算机系统与其他系统(如控制仪表、移动通信)之间的通信的线路。

按传送方式分并行和串行。串行通信是指数据在单条1位宽的传输线上,一位一位的按顺序分时传送。并行通信是指数据在多条并行1位宽的传输线上,同时由源传送到目的地。

12.带宽:单位时间内可以传送的最大的信息量。

13.机器字长:是指CPU一次并行处理数据的位数,通常与CPU的寄存器位数有关。

14.主存容量:是指主存中存放二进制代码的总位数。

15.机器数:符号位数字化,0代表正数,1代表负数。

16.定点数:小数点固定在某一位位置的数。

17.浮点数:小数点的位置可以浮动的数。

18.补码:带符号数据表示方法之一,正数的反码和原码相同,负数的反码是将二进制按位取反后在最低位再加1.

19.溢出:在计算机中,超出机器字长,发生错误的结果。

20.非编码键盘:采用软件判断键是否按下及设键、译键、计算键值的方法的键盘。

21.A/D转换器:它能将模拟量转换成数字量,是计算机的输入设备。

22.I/O接口:指主机与I/O设备之间设置的一个硬件电路及器相应的软件控制。

23.端口:指接口电路中的一些寄存器,用来存放数据信息、控制信息和状态信息。

24.中断:计算机在执行程序的过程中,当出现异常情况或特殊请求时,计算机停止现行程序的运行转向对这些异常情况或特殊请求处理,处理结束后再返回到现行程序的间断处,继续执行源程序。

25.中断源:凡能向CPU提出中断请求的各种因素统称为中断源。

26.中断嵌套:计算机在处理中断的过程中,有可能出现新的中断请求,此时CPU暂停现行中断服务程序,转向新的中断请求,这种现象称为中断嵌套。

27.优先级:为使系统能及时响应并处理发生的所有中断,系统根据引起中断事件的重要性和紧迫程度,硬件将中断源分为若干个级别。

28.DMA方式:用硬件在主存与外设之间直接进行数据传送,不须CPU,用软件控制。

29.指令系统:将全部机器指令的集合称为机器的指令系统。

30.寻址方式:是指确定本条指令的数据地址以及下一条将要执行的指令地址的方法,它与硬件结构紧密相关,而且直接影响指令格式和指令功能。

31.指令周期:完成一条指令的时间,由若干机器周期组成。

机器周期:完成摸个独立操作,由若干时钟周期组成。

时钟周期:最基本时间单位,由主频决定。

32.微操作:在微程序控制器中,执行部件接受微指令后所进行的最基本的操作。

33.微指令:控制器存储的控制代码,分为操作控制部分和顺序控制部分,由微命令组成。

34.微程序:存储在控制存储器中的完成指令功能的程序,由微指令组成。

35.控制存储器:CPU内用于存放实现指令系统全部指令的微程序的只读存储器。

三、计算

3.1

4. 设总线的时钟频率为8MHZ,一个总线周期等于一个时钟周期。如果一个总线周期中并行传送16位数据,试问总线的带宽是多少?

解:由于:f=8MHz,T=1/f=1/8M秒,因为一个总线周期等于一个时钟周期

所以:总线带宽=16/(1/8M) = 128Mbps=16MBps

3.15. 在一个32位的总线系统中,总线的时钟频率为66MHZ,假设总线最短传输周期为4个时钟周期,试计算总线的最大数据传输率。若想提高数据传输率,可采取什么措施?

解:总线传输周期=4*1/66M秒

总线的最大数据传输率=32/(4/66M)=528Mbps=66MBps

若想提高数据传输率,可以提高总线时钟频率、增大总线宽度或者减少总线传输周期包含的时钟周期个数。

3.16. 在异步串行传送系统中,字符格式为:1个起始位、8个数据位、1个校验位、2个终止位。若要求每秒传送120个字符,试求传送的波特率和比特率。

解:一帧包含:1+8+1+2=12位

故波特率为:(1+8+1+2)*120=1440bps

比特率为:8*120=960bps

4.5. 什么是存储器的带宽?若存储器的数据总线宽度为32位,存取周期为200ns,则存储器的带宽是多少?

解:存储器的带宽指单位时间内从存储器进出信息的最大数量。

存储器带宽 = 1/200ns ×32位 = 160M位/秒 = 20MB/秒(注:1ns=10-9s)

4.7. 一个容量为16K×32位的存储器,其地址线和数据线的总和是多少?当选用下列不同规格的存储芯片时,各需要多少片?

1K×4位,2K×8位,4K×4位,16K×1位,4K×8位,8K×8位

解:地址线和数据线的总和 = 14 + 32 = 46根;

选择不同的芯片时,各需要的片数为:

1K×4:(16K×32) / (1K×4) = 16×8 = 128片

2K×8:(16K×32) / (2K×8) = 8×4 = 32片

4K×4:(16K×32) / (4K×4) = 4×8 = 32片

16K×1:(16K×32)/ (16K×1) = 1×32 = 32片

4K×8:(16K×32)/ (4K×8) = 4×4 = 16片

8K×8:(16K×32) / (8K×8) = 2×4 = 8片

6.4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,-87

解:真值与不同机器码对应关系如下:

真值-13/64 -87

原码 1.001 1010 1,101 0111

补码 1.1100110 1,0101001

反码 1.1100101 1,0101000

6.5. 已知[x]补,求[x]原和x。

[x1]补=1.1100; [x2]补=1.1001; [x4]补=1.0000;

[x5]补=1,0101; [x6]补=1,1100; [x8]补=1,0000;

解:[x]补与[x]原、x的对应关系如下:

真值-1/4 -7/16 -1 -11 -4 -16

1,1100 1,0000

[x]补 1.1100 1.1001 1.0000 1,010

1

1,0100 无

[x]原 1.0100 1.0111 无1,101

1

x -0.0100 -0.0111 -1.0000 -1011 -0100 -10000

6.9. 当十六进制数9B和FF分别表示为原码、补码、反码、移码和无符号数时,所对应的十进制数各为多少(设机器数采用一位符号位)?

解:真值和机器数的对应关系如下:

原码补码移码无符号数

9BH -27 -101 +27 155

原码补码移码无符号数

FFH -128 -1 +128 256

6.12. 设浮点数格式为:阶码5位(含1位阶符),尾数11位(含1位数符)。写出-27/1024、-86.5所对应的机器数。要求如下:

(1)阶码和尾数均为原码。

(2)阶码和尾数均为补码。

(3)阶码为移码,尾数为补码。

解:据题意画出该浮点数的格式:

阶符1位阶码4位数符1位尾数10位

将十进制数转换为二进制: x1= -27/1024= -0.0000011011B = 2-5*(-0.11011B)

x3=-86.5=-1010110.1B=27*(-0.10101101B) 则以上各数的浮点规格化数为:

(1)[x1]原=1,0101;1.110 110 000 0

[x3]原=0,0111;1.101 011 010 0

(2)[x1]补=1,1011;1.001 010 000 0

[x3]补=0,0111;1.010 100 110 0

(3)[x1]移补=0,1011;1.001 010 000 0

[x3]移补=1,0111;1.010 100 110 0

6.19. 设机器数字长为8位(含1位符号位),用补码运算规则计算下列各题。

(2)A=19/32,B=-17/128,求A-B。

(4)A=-87,B=53,求A-B。

解:(2)A=19/32= 0.100 1100B, B= -17/128= -0.001 0001B

[A]补=00.100 1100, [B]补=11.110 1111 , [-B]补=00.001 0001

[A-B]补=[A]补+[-B]补

=00.1001100 + 00.0010001

=00.1011101 ——无溢出

A-B= 0.101 1101B = 93/128B

(4)A= -87= -101 0111B, B=53=110 101B

[A]补=11, 010 1001, [B]补=00, 011 0101, [-B]补=11, 100 1011

[A-B]补=[A]补+[-B]补

= 11,0101001 + 11,1001011

= 10,1110100 ——溢出

6.20. 用原码一位乘和补码一位乘(Booth算法)、两位乘计算x〃y。

(1)x= 0.110 111,y= -0.101 110;(4)x= 0.110 11,y= -0.111 01。

解:先将数据转换成所需的机器数,然后计算,最后结果转换成真值。

(1)[x]原=0.110111,[y]原=1.101110,x*=0.110111, y*=0.101110

原码一位乘:

部分积乘数y* 说明

0.000 000 101110 乘数为0右移

0.000 000

+0.110 111

010111 乘数为1,加上x*

0.110 111 0.011 011 +0.110 111 010111

101011

右移一位

乘数为1,加上x*

1.010 010 0.101 001 +0.110 111 101011

010101

右移一位

乘数为1,加上x*

1.100 000 0.110 000 010101

001010

右移一位

乘数为0,右移一位

0.011 000 000101 乘数为1,加上x*

+0.110 111

1.001 111 0.100 111 000101

100010

右移一位

即x*×y*=0.100 111 100 010,z0=x0⊕ y0=0 ⊕1=1,

[x×y]原=1.100 111 100 010;x〃y= -0. 100 111 100 010

补码一位乘:[x]补=00.110111,[-x]补=11.001001,[y]补=11.010010部分积乘数Y n+1说明

00 . 000 000 00 . 000 000 +11 . 001 001 1 010 010

0 101 001

Y n y n+1=00,部分积右移1位

Y n y n+1=10,部分积加[-x]补

11 . 001 001 右移1位

11 . 100 100

+00 . 110 111

1 010 100 1 Y n y n+1=01,部分积加[x]补 00 . 011 011 右移1位

00 . 001 101 00 . 000 110 +11 . 001 001 1 101 010

1 110 101

Y n y n+1=00,部分积右移1位

Y n y n+1=10,部分积加[-x]补

11 . 001 111 右移1位

11 . 100 111

+00 . 110 111

1 111 010 1 Y n y n+1=01,部分积加[x]补 00 . 011 110

00 . 001 111 +11 . 001 001 0 111 101 0

右移1位

Y n y n+1=10,部分积加[-x]补

11 . 011 000 0 111 10

即 [x×y]补=1.011 000 011 110,x〃y= -0.100 111 100 010

(4)[x]原=0.11011,[y]原=1.11101,x*=0.11011,y*=0.11101

原码一位乘:

部分积乘数y* 说明

0.000 00 11101 乘数为1,加x*

+0.110 11 右移1位

0.011 01 11110 乘数为0,右移1位

0.001 10 11111 乘数为1,加x*

+0.110 11

1.000 01 11111 右移1位

0.100 00 11111 乘数为1,加x*

+0.110 11

1.010 11 11111 右移1位

0.101 01 11111 乘数为1,加x*

+0.110 11

1.100 00 11111 右移1位

0.11000 01111

补码一位乘:[x]补=00.11011,[-x]补=11.00101,[y]补=1.00011

00.00000 000110

+11.00101

11.00101 000110

11.10010 1100011

11.11001 0110001

+00.11011

00.10100

00.01010 0011000

00.00101 0001100

00.00010 1000110

+11.00101

11.00111 10001

6.21. 用原码加减交替法和补码加减交替法计算x÷y。

(2)x=-0.10101, y=0.11011;

(4)x=13/32, y= -27/32。

(2)[x]原=1.10101 x*=0.10101 [X*]补=1.01011 X f Y f=1

[y]原=0.11011 y*=0.11011 [Y*]补=0.11011 [-y*]补=1.00101

0.10101

+1.00101

1.11010 0

1.10100

+0.11011

0.01111 0

0.11110

+1.00101

0.00011 011

0.00110

+1.00101

1.01011 0110

0.10110

+0.11011

1.10001 01100

1.00010

+0.11011

1.11101 011000

[x/y]原=1.11000

(4)做法相同,打表格太累,仅给出结果。[x/y]原=1.01111

四、应用

4.14. 某8位微型机地址码为18位,若使用4K×4位的RAM芯片组成模块板结构的存储器,试问:

(1)该机所允许的最大主存空间是多少?

(2)若每个模块板为32K×8位,共需几个模块板?

(3)每个模块板内共有几片RAM芯片?

(4)共有多少片RAM?

(5)CPU如何选择各模块板?

解:(1)该机所允许的最大主存空间是:218× 8位 = 256K×8位 = 256KB

(2)模块板总数 = 256K×8 / 32K×8 = 8块

(3)板内片数 = 32K×8位 / 4K×4位 = 8×2 = 16片

(4)总片数 = 16片×8 = 128片

(5)CPU通过最高3位地址译码输出选择模板,次高3位地址译码输出选择芯片。地址格式分配如模板号(3位)芯片号(3位)片内地址(12位)

下:

4.29. 假设CPU执行某段程序时共访问Cache命中4800次,访问主存200次,已知Cache的存取周期为30ns,主存的存取周期为150ns,求Cache的命中率以及Cache-主存系统的平均访问时间和效率,试问该系统的性能提高了多少倍?

解:Cache被访问命中率为:4800/(4800+200)=24/25=96%

则Cache-主存系统的平均访问时间为:t a=0.96*30ns+(1-0.96)*150ns=34.8ns

Cache-主存系统的访问效率为:e=t c/t a*100%=30/34.8*100%=86.2%

性能为原来的150ns/34.8ns=4.31倍,即提高了3.31倍。

例7.2设相对寻址的转移指令占3个字节,第一字节为操作码,第二,三字节为相对位移量(补码表示)。而且数据在存储器中采用以低字节地址为字地址的存放方式。每当CPU从存储器取出一个字节时,即自动完成(PC)+1 PC。

(1)若PC当前值为240(十进制),要求转移到290(十进制),则转移指令的第二、三字节的机器代码是什么?

(2)若PC当前值为240(十进制),要求转移到200(十进制),则转移指令的第二、三字节的机器代码是什么?

解:(1)PC当前值为240,该指令取出后PC值为243,要求转移到290,即相对位移量为290-243=47,转换成补码为2FH。由于数据在存储器中采用以低字节地址为字地址的存放方式,故该转移指令的第二字节为2FH,第三字节为00H。

(2)PC当前值为240,该指令取出后PC值为243,要求转移到200,即相对位移量为200-243=-43,转换成补码为D5H。由于数据在存储器中采用以低字节地址为字地址的存放方式,故该转移指令的第二字节为D5H,第三字节为FFH。

例7.3一条双字长直接寻址的子程序调用指令,其第一个字为操作码喝寻址特征,第二个字为地址码5000H。假设PC当前值为2000H,SP的内容为0100H,栈顶内容为2746H,存储器按字节编址,而且进栈操作时执行(SP)-△-P,后存入数据。试回答下列几种情况下,PC、SP及栈顶内容各为多少?(1)CALL指令被读取前。

(2)CALL指令被执行后。

(3)子程序返回后。

解CALL指令被读取前,PC=2000H,SP=0100H,栈顶内容为2746H。

(1)CALL指令被执行后,犹豫存储器按字节编制,CALL指令供占4个字节,故程序断电2004H进栈,此时SP=(SP)-2=00FEH,栈顶内容为2004H,PC被更新为子程序入口地址5000H。(2)子程序返回后,程序断点出栈,PC=2004H,SP被修改为0100H,栈顶内容为2746H。

7.6某指令系统字长为16位,地址码取4位,试提出一种方案,使该地址系统有8条三地址指令、16条二地址指令、100条一地址指令。

解:

OP A2 A1 A0 三地址指令8条

0000

·

·

·

0111

OP A1 A0 二地址指令16条

10000000

·

·

·

10001111

OP A0 一地址指令100条110000000000

110001100011

7.7设指令字长为16位,采用扩展操作码技术,每个操作码的地址为6位。如果定义了13条二地址指令,试问还可安排多少条一地址指令。

解:(24-3)*26=3*64=192条

7.8某机指令字长16位,每个操作数的地址码为6位,设操作码长度固定,指令分为零地址,一地址和二地址三种格式,若零地址指令有M种,以抵制指令有N种,则二地址指令最多有几种?若操作码位数可变,则二地址指令最多允许有几种?

解:1)若采用定长操作码时,二地址指令格式如下:

OP(4位)A1(6位)A2(6位)

设二地址指令有K种,则:K=24-M-N

当M=1(最小值),N=1(最小值)时,二地址指令最多有:Kmax=16-1-1=14种2)若采用变长操作码时,二地址指令格式仍如1)所示,但操作码长度可随地址码的个数而变。此时,K= 24 -(N/26 + M/212);

当(N/26 + M/212) 1时(N/26 + M/212向上取整),K最大,则二地址指令最多有:

K max=16-1=15种(只留一种编码作扩展标志用。)

9.5设机器A的CPU主频为8MHz,机器周期为4个时钟周期,且该机的平均指令执行速度是0.4MIPS,试求该机的平均指令周期和机器周期,每个指令周期中含几个机器周期?如果机器B的CPU主频为12MHz,且机器周期也含有4个时钟周期,试问B机的平均指令执行速度为多少MIPS?

A.CLK=8MHz T=1/8MHz=0.125us

机器周期=4*T=0.5us

因为执行速度为0.4MIPS 所以平均指令周期=1/0.4MIPS=2.5us

2.5us/0.5us=5个所以每个指令含有5条机器指令

B.T=1/f=1/12MHz=1/12us 机器指令=4*T=1/3us 指令周期=5*1/3=5/3us

平均指令执行速度 1/(5/3)=0.6MIPS

9.6设某计算机的CPU主频为8MHz,每个机器周期平均含2个时钟周期,每条指令平均有4个机器周期,试问该计算机的平均指令执行速度为多少MIPS?若CPU主频不变,但每个机器周期平均含4个时钟周期,每条指令平均有4个机器周期,试问B机的平均指令执行速度为多少MIPS?

1.CLK=8MHz 平均指令执行速度1/(1/8M*2*4)=1MIPS

2.指令周期=4*4*1/8=2us 执行速度=1/(1/8M*4*4)=0.5MIPS

9.7某CPU的主频为10MHz,若已知每个机器周期平均含有4个时钟周期,该机的平均指令执行速度为1MIPS,试求该机的平均指令执行速度为多少MIPS?若CUP主频不变,但每个机器周期平均含有4个时钟周期,每条指令平均有4个机器周期,则该机的平均指令执行速度又是多少MIPS?由此可得出什么结论

1.平均指令周期=1/1MIPS=1us T=1/f=0.1us T机=4*T=0.4us

因为1us/0.4us=2.5 所以每个指令包含2.5个机器周期

2.T=0.4us 速度=1/(0.4*2.5*4)=0.25MIPS

3.因为速度=0.8MIPS 所以T指=1/0.8us

因为T指=4*2.5*T 所以T=1/8us 所以 f=1/T=8MHz

五、简答

1.冯诺依曼机主机主要特点。

○1计算机由运算器、存储器、控制器、输入设备和输出设备五大部件组成。

○2.指令和数据一同等地位存放于存储器内,并可按地址寻访。

○3.指令和数据均用二进制表示。

○4.指令由操作吗和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。

○5.采用存储控制原理,指令在存储器内按顺序存放。通常指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。

○6.机器以运算器为中心,输入输出设备与存储器间的数据传说通过运算器完成。

2.计算机硬件主要技术指标,软件定义与分类。

计算机硬件主要技术指标:机器字长、存储容量、运算速度、主频等。

软件定义:看不见摸不着,由人们事先编制的具有各类特殊功能的程序组成。

分类:系统软件和应用软件。

3.计算机组成部分与各部分作用。

运算器:用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。

存储器:用来存放数据和程序。

控制器:用来控制、指挥程序和数据的输入、运行以及处理器运算结果。

输入设备:用来将人们熟悉的信息形式转换为机器能识别的信息形式,常见的有键盘、鼠标等。

输出设备:可将机器运算结果转换为人们熟悉的信息形式,如打印机输出,显示器输出等。

4.总线定义与分类方法,系统总线定义与分类方法。

总线

定义:总线是连接多个部件的信息传输线,是各部件共享的传输介质。

分类:片内总线系统总线通信总线

系统总线

定义:系统总线是指CPU、主存、I/O设备(通过I/O接口)各大部件之间的信息传输线。

分类:数据总线地址总线控制总线

5.什么是总线标准,目前流行的总线标准有哪些。

所谓总线标准可视为系统与各模块,模块与模块之间的一个互连的标准界面。

ISA总线、EISA总线、PCI总线、RS—232C总线、IEEE-488(并行通信总线又称GP-IP总线)USB总线。

6.三级存储器系统中各级存储器特点与用途,分哪两个层次。

○1主存特点:随机访问、速度快。容量大。用途:存放CPU使用的程序和数据。

辅存特点:容量大、速度慢、价格低、可脱机保存信息。用途:存放大量后备数据

缓存特点:速度快、容量小、价格高用途:用于主存与辅存之间作为缓冲,正在使用的程序和数据的付本。

○2缓存-----主存层次和主存---辅村层次。

7.半导体存储器RAM与ROM特点与用途。

RAM特点:可读可写掉电后信息丢失,存临时信息。用途:主要做内存

ROM特点:只读不写掉电后信息不丢失,存长期信息。用途:主要做控制存储器

8.动态RAM与静态RAM特点与用途,DRAM刷新方式与主要优点。

静态RAM特点:信息读出后,仍保持其原有状态,不需要再生。用途:用于Cache

动态RAM特点:靠电容存储电荷的原理来寄存信息。用途:组成内存/主存。

DRAM刷新方式

集中刷新:集中刷新是在规定的一个刷新周期内对全部存储单元集中一段时间逐行进行刷新,此刻必须停止读写操作。

分散刷新:分散刷新是指对每行存储单元的刷新分散到每个存储周期内完成。

异步刷新:异步刷新是前两种方式的结合,它即可缩短“死时间”,又充分利用最大刷新间隔2ms 的特点。

优点:单个MOS管组成,集成度高,速度较SRAM慢,价格低,

9.Cache工作原理特点,地址映射方式与替换算法。

原理:利用程序访问的局部性,近期用到信息存于cache。

地址映射方式:直接映射、全相联映射、组相联映射、

替换算法:先进先出算法(FIFO)、近期最少使用算法(LRU)、随机法。

10.主机与外设交换信息采用中断与DMA方式特点与应用场合。

中断方式:

特点:CPU与外设并行工作,效率高

应用场合:管理多种外设并行工作、进行实时处理、进行故障自动处理

DMA方式:

特点:

○1从数据传送看,程序中断方式靠程序传送,DMA方式靠硬件传送。

○2从CPU响应时间看,程序中断方式是在一条指令执行结束时响应,而DMA方式可在指令周期内的任一存取周期结束时响应。

○3程序中断方式有处理异常事件能力,DMA方式没有这种能力,主要用于大批数据的传送,如硬盘存取、图像处理、高速数据采集系统等,可提高数据吞吐量。

○4程序中断方式需要中断现行程序,故需保护现场;DMA方式不中断现行程序,无须保护现场。

○5DMA的优先级比程序中断的优先级高。

应用场合:高速设备如硬盘

11.I/O端口与接口的区别,I/O接口分类方法。

端口:接口内部寄存器有I/O地址号。一般分为数据口、命令口和状态口。

接口:若干端口加上相应的控制电路组成。

接口分类:按数据传送方式分串行接口和并行接口

按功能选择的灵活性分为可编程接口和不可编程接口

按通用性分为通用接口和专用接口

按数据传送的控制方式分为程序型接口和DMA接口。

12.中断处理过程分成哪两个阶段各完成哪些任务

响应阶段:关中断、保护断点地址、转入中断服务入口地址

处理阶段:保护现场、执行用户编写的中断服务程序、恢复现场。

13.与中断方式比较MDA方式主要特点是什么。

○1从数据传送看,程序中断方式靠程序传送,DMA方式靠硬件传送。

○2从CPU响应时间看,程序中断方式是在一条指令执行结束时响应,而DMA方式可在指令周期内的任一存取周期结束时响应。

○3程序中断方式有处理异常事件能力,DMA方式没有这种能力,主要用于大批数据的传送,如硬盘存取、图像处理、高速数据采集系统等,可提高数据吞吐量。

○4程序中断方式需要中断现行程序,故需保护现场;DMA方式不中断现行程序,无须保护现场。

○5DMA的优先级比程序中断的优先级高。

14.什么是寻址方式,数据寻址方式有哪几种。

寻址方式:是指确定本条指令的数据地址以及下一条将要执行的指令地址的方法,它与硬件结构紧密相关,而且直接影响指令格式和指令功能。

数据寻址方式:立即寻址、直接寻址、隐含寻址、间接寻址、寄存器寻址、寄存器间接寻址、基址寻址、变址寻址、相对寻址、堆栈寻址。

15.RISC主要特点与CISC相比较RISC主要优点。

特点:

选用使用频率较高的一些简单指令以及一些很有用但又不复杂的指令,让复杂指令的功能由频度高的简单指令的组合来实现;

指令长度固定指令格式种类少,寻址方式种类少;

只有取数/存数指令访问存储器,其余指令的操作都在寄存器内完成;

采用流水线技术,大部分指令在一个时钟周期内完成;

控制器采用组合逻辑控制,不用微程序控制;

采用优化的编译程序。

○1充分利用VLSI芯片的面积。

○2提高计算机运算速度。

○3便于设计可降低成本提高可靠性。

○4有效支持高级语言程序。

16.组合逻辑与微程序设计主要特点与应用。

组合逻辑:特点:速度快、复杂不灵活。应用:适用于RISC机。

微程序:特点:引入程序设计与存储逻辑技术,硬件软化,把一条机器指令用一段微程序来实现,存放控制存储器CM中。应用:系列机。

17.什么是指令周期、机器周期、时钟周期三者的关系如何。

指令周期:完成一条指令的时间,由若干机器周期组成。

机器周期:完成摸个独立操作,由若干时钟周期组成。

时钟周期:最基本时间单位,由主频决定。

关系:时钟周期是最基本时间单位,由若干时钟周期组成机器周期,由若干机器周期组成指令周期。

18.微程序设计基本思想,微程序指令主要编码方式。

思想:引入程序设计与存储逻辑技术硬件软化把一条机器指令用一般微程序来实现,存于控制存储器中。编码方式:直接编码(直接控制)方式、字段直接编码方式。

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

计算机组成原理复习题

填空题 1. 计算机系统的层次结构由低级到高级为(微程序设计级)、(一般机器级)、操作系统级、汇编语言级、高级语言级。 2. 计算机系统中,传输信息基本有四种方式:(并行传送)、(串行传送)串并行传送、分时传送。 3. Cache的替换算法有(先进先出算法)和(最近最少使用算法) 4. 按CPU能否禁止中断的进入分类,中断分为(可屏蔽中断)和(不可屏蔽中断)。 5. CPU的操作控制器有(存储程序型)和(逻辑控制型)、组合型等几种。 6. 一个具有k级过程的流水线处理n个任务需要的时钟周期数为(k+n-1)。 7. 总线可分为(地址总线)(数据总线)和控制总线三类。 8. 输入输入设备的编址方式有(存储器映像编址)和(I/O独立编址)。 9. CISC指(复杂指令集计算机),RISC指(精简指令集计算机)。 10. 从机器指令的结构分,机器指令由(操作码)和(地址码)组成 21.存储器的访问有存储器的读和存储器两种操作。 22.标准的ASCII码用7位二进制表示,共有128个编码。 23.在cache的直接映像方式中主存的地址分为区号、块号和块内地址三部分。 24.在cache的全相联映像方式中主存的地址分为主存块号和块内地址两部分。 25.虚拟存储器主要解决主存储器的容量问题。 26.一条指令的格式通常由操作码确定,指令译码器对操作码译码后才能知道指令的功 能,同时也明确了指令中个字段的划分。 27.为了执行指令,控制器必须按照一定的时序生成控制信号,生成控制信号的方法主要 有硬件连线逻辑方式和微程序方式。 28.条件转移指令可以将比较操作设计成条件转移指令的一部分,优点是不需要寄存

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理复习题及答案

一、填空、选择或判断 1.多核处理机是空间并行计算机,它有___多__个CPU。 2.计算机的发展大致经历了五代变化,其中第四代是1972-1990 年的_大规模和超大规 模集成电路______计算机为代表。 3.计算机从第三代起,与IC电路集成度技术的发展密切相关。描述这种关系的是_摩尔__ 定律。 4.1971年,英特尔公司开发出世界上第一片4位微处理器__Intel 4004_____。首次将CPU 的所有元件都放入同一块芯片之内。 5.1978年,英特尔公司开发的___Intel 8086_______是世界上第1片通用16位微处理器, 可寻址存储器是_1MB______。 6.至今为止,计算机中的所有信息仍以二进制方式表示的理由是__物理器件性能所致___。 7.冯。诺依曼计算机工作方式的基本特点是__按地址访问并顺序执行指令_____。 8.20世纪50年代,为了发挥__硬件设备_____的效率,提出了_多道程序___技术,从而发 展了操作系统,通过它对__硬软资源______进行管理和调度。 9.计算机硬件能直接执行的只有__机器语言_________ 。 10.完整的计算机系统应包括__配套的硬件设备和软件系统______。 11.计算机的硬件是有形的电子器件构成,它包括_运算器__、_控制器_、_存储器__、_适配器_、_系统总线__、__外部设备__。 12.当前的中央处理机包括__运算器_____、_控制器_____、__存储器_____。 13.计算机的软件通常分为__系统软件_______和___应用软件_____两大类。 14.用来管理计算机系统的资源并调度用户的作业程序的软件称为__操作系统_____,负责将_高级____-语言的源程序翻译成目标程序的软件称为___编译系统____。 15.计算机系统中的存储器分为__内存____和__外存______。在CPU执行程序时,必须将 指令存放在__内存______中。 16.计算机存储器的最小单位为___位______。1KB容量的存储器能够存储___8192_____个这样的基本单位。 17.在计算机系统中,多个系统部件之间信息传送的公共通路称为_总线_____。就其所传送的信息的性质而言,在公共通路上传送的信息包括__数据__、__地址__和__控制____信息。 18.指令周期由__取指____ 周期和__执行_____周期组成。 19.下列数中最小的数为_______. A (101001)2 B(52)8 C (101001)BCD D(233)16 20.下列数中最大的数为 A ()2 B(227)8 C (96)16D(143)5 21.在机器数中,________的零的表示形式是唯一的。 A原码B补码C反码D原码和反码 22.某机字长32位,采用定点小数表示,符号位为1位,尾数为31位,则可表示的最大正 小数为___C____,最小负小数为___D_____ A +(231-1) B -(1-2-32) C +(1-2-31)≈+1 D-(1-2-31)≈-1 23.某机字长32位,采用定点整数表示,符号位为1位,尾数为31位,则可表示的最大正 整数为___A____,最小负整数为___D_____ A +(231-1) B -(1-2-32)

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

最新计算机组成原理作业练习题

第四章作业 1填空题 1. 计算机中的存储器是用来存放数据和程序的,随机访问存储器的访问速度与储存单元的 物理位置无关。 2. 对存储器的访问包括_直接访问_和_串行访问_两类。 3. 2计算机系统中的存储器分为内存_和_外存_。在CPU执行程序时,必须将指令存在_ 内存③____中。 4. 主存储器的性能指标主要是储存容量、存取速度②、存储周期和存储器带宽。 5. 存储器中用单元地址号来区分不同的存储单元,1GB=②KB。 6. 半导体存储器分为①、②、只读存储器(ROM)和相联存储器等。 7. RAM的访问时间与存储单元的物理位置①,任何存储单元的内容都能被② 8. 存储揣芯片由①、②、地址译码和控制电路等组成。 9. 地址译码分为①方式和②方式。 10.双译码方式采用①个地址译码器,分别产生②和③信号。 11.若RAM芯片内有1024个单元,用单译码方式,地址译码器将有①条输出线;用双译码方式,地址译码器有②条输出线。 12.静态存储单元是由晶体管构成的①,保证记忆单元始终处于稳定状态,存储的信息不需要②。 存储器芯片并联的目的是为了①,串联的目的是为了②。 14.计算机的主存容量与①有关,其容量为②。 1. 要组成容量为4MX8位的存储器,需要①片4MXl位的存储器芯片并联,或者需要②片 1MX3的存储器芯片串联。

16.内存储器容量为256K时,若首地址为00000H,那么末地址的十六进制表示是 17.主存储器一般采用①存储器件,它与外存比较存取速度②、成本③。 18.三级存储器系统是指______这三级: 19.表示存储器容量时KB=_①_,MB=_②_;表示硬盘容量时,KB=③,MB=④。 20.只读存储器ROM可分为①、②、③和④四种。 21.SRAM是①;DRAM是②;ROM是③;EPROM是④。 22.半导体SRAM靠①存储信息,半导体DRAM则是靠②存储信息。 23.广泛使用的①和②都是半导体③存储器。前者的速度比后者快,但④不如后者高,它们的共同缺点是断电后⑤保存信息。 24.CPU是按____访问存储器中的数据。 24.EPROM属于①的可编程ROM,擦除时一般使用②,写入时使用高压脉冲。 25.对存储器的要求是①,②,③。为了解决这三个方面的矛盾,计算机采用多级存储器体系结构。 26.动态MOS型半导体存储单元是由一个①和一个②构成的。

计算机组成原理试题及答案

《计算机组成原理》试题 一、(共30分) 1.(10分) (1)将十进制数+107/128化成二进制数、八进制数和十六进制数(3分) (2)请回答什么是二--十进制编码?什么是有权码、什么是无权码、各举一个你熟悉的有权码和无权码的例子?(7分) 2.已知X=0.1101,Y=-0.0101,用原码一位乘法计算X*Y=?要求写出计算过程。(10分) 3.说明海明码能实现检错纠错的基本原理?为什么能发现并改正一位错、也能发现二位错,校验位和数据位在位数上应满足什么条件?(5分) 4.举例说明运算器中的ALU通常可以提供的至少5种运算功能?运算器中使用多累加器的好处是什么?乘商寄存器的基本功能是什么?(5分) 二、(共30分) 1.在设计指令系统时,通常应从哪4个方面考虑?(每个2分,共8分) 2.简要说明减法指令SUB R3,R2和子程序调用指令的执行步骤(每个4分,共8分) 3.在微程序的控制器中,通常有哪5种得到下一条指令地址的方式。(第个2分,共10分) 4.简要地说明组合逻辑控制器应由哪几个功能部件组成?(4分) 三、(共22分) 1.静态存储器和动态存储器器件的特性有哪些主要区别?各自主要应用在什么地方?(7分) 2.CACHE有哪3种基本映象方式,各自的主要特点是什么?衡量高速缓冲存储器(CACHE)性能的最重要的指标是什么?(10分) 3.使用阵列磁盘的目的是什么?阵列磁盘中的RAID0、RAID1、RAID4、RAID5各有什么样的容错能力?(5分) 四、(共18分) 1.比较程序控制方式、程序中断方式、直接存储器访问方式,在完成输入/输出操作时的优缺点。(9分) 2.比较针式、喷墨式、激光3类打印机各自的优缺点和主要应用场所。(9分) 答案 一、(共30分) 1.(10分) (1) (+107/128)10 = (+1101011/10000000)2 = (+0.1101011)2 = (+0.153)8 = (+6B)16 (2) 二-十进制码即8421码,即4个基2码位的权从高到低分别为8、4、2、1,使用基码的0000,0001,0010,……,1001这十种组合分别表示0至9这十个值。4位基二码之间满足二进制的规则,而十进制数位之间则满足十进制规则。 1

计算机组成原理期末考试A卷-含答案

广东外语外贸大学信息学院计算机系 2004—2005学年第2学期 《计算机组成原理》期末考试试卷A 考卷适用班级:计算机专业03级考试时间:120分钟 班级_______ 学号_____________姓名_________成绩_______ 一、填空题(每空1分,共20分) 1.8位二进制补码表示整数的最小值为__-128____,最大值为__127___。 2.计算机常用的校验码有奇偶校验码、海明校验码、____CRC码_____。 3.一个浮点数,当其补码尾数右移1位时,为使其值不变,阶码应该__加1____。4.ALU的基本逻辑结构是__快速进位__加法器,它比行波进位加法器优越,具有先行进位逻辑,不仅可以实现高速运算,还能完成逻辑运算。 5.采用双符号位的方法进行溢出检测时,若运算结果中两个符号位__不相同__,则表明发生了溢出。 6.要组成容量为4M×8位的存储器,需要__8__片4M×1位的存储器芯片并联,或者需要__4____片1M×8位的存储器芯片串联。 7.一台计算机所具有的各种机器指令的集合称为该计算机的__指令系统__。 8.指令编码中,操作码用来指定__操作的类型__,n位操作码最多可以表示___2n____条指令。 9.CPU中,保存当前正在执行的指令的寄存器为__指令寄存器IR_,保存下一条指令地址的寄存器为_程序计数器PC__,保存CPU访存地址的寄存器为__内存地址寄存器AR__。10.控制器在生成各种控制信号时,必须按照一定的__时序__进行,以便对各种操作实施时间上的控制。 11.微程序控制器的核心部件是存储微程序的__控制存储器____,它一般用_只读存储器_构成。 12.任何指令周期的第一步必定是__取指__周期。 13.异步方式下,总线操作周期时间不固定,通过_握手(就绪/应答)_信号相互联络。14.输入输出操作实现的CPU与I/O设备的数据传输实际上是CPU与__IO设备接口寄存器__之间的数据传输。 二、选择题(每小题1分,共20分) 1.冯·诺曼机工作方式的基本特点是___________。 A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2.主机中能对指令进行译码的器件是_________。 A.ALU B.运算器 C.控制器D.存储器 3.运算器的主要功能是进行_______。 A.逻辑运算B.算术运算

计算机组成原理试卷及答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同 6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的时 间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间

相关主题