搜档网
当前位置:搜档网 › 函数信号发生器课程设计原版

函数信号发生器课程设计原版

函数信号发生器课程设计原版
函数信号发生器课程设计原版

6

《电子技术》课程设计报告函数信号发生器课程设计

函数信号发生器

摘要

在现代电子学的各个领域,常常需要高精度且频率可方便调节的信号发生器。种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路称为函数信号发生器,又名信号源或振荡器。函数信号发生器与正弦波信号发生器相比具有体积小、功耗少、价格低等优点, 最主要的是函数信号发生器的输出波形较为灵活, 有三种波形(方波、三角波和正弦波)可供选择,在生产实践,电路实验,设备检测和科技领域中有着广泛的应用。

该函数信号发生器可产生三种波形,方波,三角波,正弦波,具有数字显示输出信号频率和电压幅值功能,其产生频率信号范围1HZ~100kHZ,输出信号幅值范围0~10V,信号产生电路由比较器,积分器,差动放大器构成,频率计部分由时基电路、计数显示电路等构成。幅值输出部分由峰值检测电路和芯片7107等构成。

关键词信号发生器、比较器、积分器、555芯片、七段数码显示器、ADC芯片

技术要求

1. 信号频率范围 1Hz~100kHz;

2. 输出波形应有:方波、三角波、正弦波;

3. 输出信号幅值范围0~10V;

4. 具有数字显示输出信号频率和电压幅值功能。

一、系统综述

1.1系统设计思路

函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件(如低频信号函数发生器S101全部采用晶体管),也可以是集成器件(如单片集成电路函数信号发生器ICL8038)。产生方波、正弦波、三角波的方案也有多种,如先产生方波,再根据积分器转换为三角波,最后通过差分放大电路转换为正弦波。频率计部分由时基电路、计数显示电路等构成,整形好的三角波或正弦波脉冲输入该电路,与时基电路产生的闸门信号对比送入计数器,最后由数码管可显示被测脉冲的频率。产生的3种波经过一个可调幅电路,由于波形不断变化,不能直接测出其幅值,得通过峰值检测电路测出峰值(稳定的信号幅值保持不变),然后经过数字电压表(由AD转换芯片CC7107和数码管等组成),可以数字显示幅值。

1.2设计方案及可行性

方案一:采用传统的直接频率合成器。首先产生方波—三角波,再将三角波变成正弦波。

方案二:采用单片机编程的方法来实现(如89C51单片机和D/A转换器,再滤波放大),通过编程的方法控制波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率变换。

方案三:是利用ICL8038芯片构成8038集成函数发生器,其振荡频率可通过外加直流电压进行调节。

经小组讨论,方案一比较需要的元件较多,方案二超出学习范围,方案三中的芯片仿真软件中不存在,而且内部结构复杂,不容易构造,综合评定,最后选择方案一。

1.3系统功能块的划分

该系统应主要包括直流稳压电源,信号产生电路,频率显示电路和电压幅值显示电路四大部分。

直流稳压电源将220V工频交流电转换成稳压输出的直流电压,信号产生电路产生的信号,经过适当的整形,作为频率显示电路的输入,从而达到了数字显

示频率的要求;产生的信号经过幅频显示部分(峰值检测电路和数模转换),便实现了幅值数字显示。

1.4 总体工作过程

先由反相输入的滞回比较器和RC电路组成方波发生电路,然后方波经积分器得到三角波,由差分放大器来完成三角波到正弦波的变换电路。频率计部分由时基电路、计数显示电路等构成,整形好的三角波或正弦波脉冲输入该电路,与时基电路产生的闸门信号对比送入计数器,最后由数码管可显示被测脉冲的频率。产生的3种波经过一个可调幅电路,由于波形不断变化,不能直接测出其幅值,得通过峰值检测电路测出峰值(稳定的信号幅值保持不变),然后经过数字电压表(由AD转换芯片CC7107和数码管等组成),可以数字显示幅值。

二、单元电路设计

1.信号产生电路

1.1函数发生器总方案

函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片集成电路函数信号发生器ICL8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法,如图2—1。

产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。

由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器

时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。

图2—1 函数发生器组成框

1.2 各组成部分电路的工作原理

① 方波发生电路的工作原理

此电路由反相输入的滞回比较器和RC 电路组成。RC 回路既作为延迟环

节,又作为反馈网络,通过RC 充、放电实现输出状态的自动转换。设某一时刻输出电压0U =+ Z U ,则同相输入端电位p U =+ t U 。0U 通过R3对电容C 正向充电,如图中实线箭头所示。反相输入端电位n 随时间t 的增长而逐渐增高,当t 趋于无穷时,n U 趋于+ Z U ;但是,一旦n U =+ t U ,再稍增大,0U 从+ Z U 跃变为- Z U ,与此同时p U 从 t U 跃变为- t U 。随后,0U 又通过R3对电容C 反向充电,如图中虚线箭头所示。n U 随时间逐渐增长而减低,当t 趋于无穷大时,n U 趋于- Z U ;但是,一旦n U =- t U ,再减小,0U 就从- Z U 跃变为+ Z U ,p U 从- t U 跃变为+ t U ,电容又开始正相充电。上述过程周而复始,电路产生了自激振荡。 ② 方波—三角波转换电路的工作原理

图2—2 方波—三角波转换电路

图2—2所示的电路能自动产生方波—三角波。工作原理如下:若R2左断开,运算发大器A1与R1、R2及R3、RP1组成电压比较器,C1为加速电容,可加速比较器的翻转。运放的反相端接基准电压,即U-=0,同相输入端接输入电压ia U ,R1称为平衡电阻。比较器的输出01U 的高电平等于正电源电压+ cc V ,低电平等于负电源电压- ee V (|+ cc V |=|- ee V |), 当比较器的U+=U- =0时,比较器翻转,输出01U 从高电平跳到低电平- ee V ,或者从低电平ee V 跳到高电平cc V 。设01U =+

cc V 则31

2231231

()0CC ia R RP R U V U R R RP R R RP ++=

++=++++

将上式整理,得比较器翻转的下门限单位ia U -

22

3131

()CC CC ia R R U V V R RP R RP ---=

+=++

若01U = -ee V ,则比较器翻转的上门限电位ia U +

22

3131

()EE CC ia R R U V V R RP R RP +-=

-=++

比较器的门限宽度2

31

2

H CC ia ia R U U U V R RP +

-

=-=+

由以上公式可得比较器的电压传输特性,如图2—3所示

图2—3 比较电压传输特性 图2—4 方波、三角波的转化

R2左端断开后,运放A2与R4、RP2、C2及R5组成反相积分器,其输入信号为方波Uo1,则积分器的输出Uo2为21422

1

()O O U U dt R RP C -=

+? 1O CC U V =+时,2422422()()()CC CC

O V V U t t R RP C R RP C -+-=

=++

1O EE U V =-时,2422422()

()()CC EE O V V U t t

R RP C R RP C --=

=++

可见积分器的输入为方波时,输出是一个上升速度与下降速度相等的三角波,其波形关系如图2—4所示。

R2左端闭合,既比较器与积分器首尾相连,形成闭环电路,则自动产生方波-三角波。 三角波的幅度为2

231

O m CC R U V R RP =

+

方波-三角波的频率f 为31

2422

4()R RP f R R RP C +=

+

由以上两式可以得到以下结论:

a) 电位器RP2在调整方波-三角波的输出频率时,不会影响输出波形的幅

度。若要求输出频率的范围较宽,可用C2改变频率的范围,PR2实现频率微调。

b) 方波的输出幅度应等于电源电压+Vcc 。三角波的输出幅度应不超过电源

电压+Vcc 。电位器RP1可实现幅度微调,但会影响方波-三角波的频率。

③三角波—正弦波转化电路的工作原理

三角波——正弦波的变换电路主要由差分放大电路来完成。

差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器,可以有效的抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。分析表明,传输特性曲线的表达式为: 0

11/1id T

C E U U aI I aI e -==

+ 式中 /1C E a I I =≈

0I ——差分放大器的恒定电流; T U ——温度的电压当量,当室温为25°C 时,T U ≈26mV 。

如果id U 为三角波,设表达式为44434m id m U T t T U U T t T ???- ?????=?-???- ?????022T t T t T ??≤≤ ??

???≤≤ ???

式中,m U —三角波的幅度;T —三角波的周期。

图2—5 三角波—正弦波变换

为使输出波形更接近正弦波,由图可见:

a)传输特性曲线越对称,线性区越窄越好;

b)三角波的幅度Um 应正好使晶体管接近饱和区或截止区。

图2—6为实现三角波—正弦波变换的电路。其中Rp1调节三角波的幅度,Rp2调整电路的对称性,其并联电阻RE2用来减小差分放大器的线性区。电容

C1,C2,C3为隔直电容,C4为滤波电容,以滤除谐波分量,改善输出波形。

图2—6三角波—正弦波变换电路

2. 计频显示电路

测量正弦波、方波、三角波的频率,利用施密特触发器将输入信号整形为方波,并利用计数器测量1s内脉冲的个数,利用锁存器锁存,稳定显示在数码管上。

2.1频率测量的方法

1)测周法

测周期法,测周期法使用被测信号来控制闸门的开闭,而将标准时基脉冲通过闸门加到计数器,闸门在外信号的一个周期内打开,这样计数器得到的计数值就是标准时基脉冲外信号的周期值,然后求周期值的倒数,就得到所测频率值。

首先把被测信号通过二分频,获得一个高电平时间是一个信号周期T的方波信号;然后用一个一直周期T1的高频方波信号作为计数脉冲,在一个信号周期T的时间内对T1信号进行计数,如图2-7所示。

若在T时间内的计数值为N

2

,则有:

T 2=N

2

*T

1

f

2

=1/T

2

=1/(N

2

*T

1

)=f

1

/N

2

N 2的绝对误差为N

2

=N+1。

N 2的相对误差为δ

N2

=(N

2

-N)/N=1/N

T 2的相对误差为δ

T2

=(T

2

-T)/T=(N

2

*T

1

-T)/T=f/f

1

从T

2

的相对误差可以看出,周期测量的误差与信号频率成正比,而与高频

标准计数信号的频率成反比。当f

1

为常数时,被测信号频率越低,误差越小,测量精度也就越高。

2)测频法

测频法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。

设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f已确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:

δf1=(f1-f)/f=1/f

从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

本次课设要求测1~100KHZ的信号,因此,采用测频法测频率。

因此可得计频显示电路框图如下:

计频显示电路原理框图

2.2 整形电路

由于由门限电压比较器构成的整形电路会产生较大的信号干扰造成计数不准确,所以采用555构成的施密特触发电路构成整形电路(如图2.2.1所示)。用555构成的施密特触发器作用是将输入的周期性信号,如正弦波、三角波变换成脉冲波形,其周期不变。

图2.2.1 整形电路

三角波和正弦波整形后的电路图

图2.2.2

图2.2.3 2.3 时基电路

时基电路的作用是控制计数器的输入脉冲。当标准时间信号(1s 正脉冲)到来时,闸门开通,被测信号通过闸门进入计数器技数:当标准脉冲结束时,闸门关闭,计数器无脉冲输入。时基电路可以由555定时器构成的多谐振荡器实现,如图2-12。

利用式()112220.7;0.7t R R C t R C =+=计算参数。仿真的结果如图2.3.2所示。

图2.3.1

2.4控制电路

控制电路是整个计频显示电路正常工作的核心部分,需仔细分析各种频率信

号(计数,选通,锁存,清零)的时序关系,以最终控制计数译码显示电路的工作状态。由于功能要求识别的最小频率是1Hz ,因此将选通信号的高电平时间定为1s ,在这个时间段内允许待测信号输入进行计数,锁存和清零信号的输出均为高电平。在选通信号为低电平时关闭闸门,计数停止,处于数据锁存的时间段,此时的锁存信号为低电平,清零信号仍为高电平,直到选通信号的下一个高电平到来前(开始下一个计数),清零信号端输出一个低电平实现数码管显示的清零,准备进入下一个计数周期。如此往复,以实现待测信号的反复测量。这几个信号的工作时序如图2.4.1所示。

选通信号

计数信号。。。。。。。。。。。。

锁存信号

清零信号

实现此电路主要由两种方案:

方案一:采用CD4017计数芯片

方案二:采用JK触发器

比较两种方案可知,CD4017计数器构成的数字频率计时序关系相对简单,固定,控制电路中的各信号频率的可调节性较小,控制电路的控制脉冲必须是1Hz,由此来固定选通信号的周期,唯一可以变化的是延长锁存和清零保持的时间,采用JK触发器构成的数字频率计虽然时序关系稍微复杂一点,但其最大的优势在于控制电路中的各信号频率的可调节性较大,通过门电路的使用可以改变锁存和清零的时间。实际当中,只需选通信号为1s,并不需要太长的锁存时间和清零时间。因此,在对锁存和清零时间较为严格时,宜采用一JK触发器为核心控制电路的数字频率计。

由JK触发器构成的控制电路如图2.4.2所示。

图2.4.2

2.5用到的芯片

这部分芯片有555定时器、四位二进制同步计数器74LS160、JK触发器74LS175、译码器7447

1)同步十进制计数器74LS160(计数作用)

该计数器外加适当的反馈电路可以构成十进制以内的任意进制计数器。图3-7中LD是预置数控制端,D、C、B、A是预置数据输入端,D R是清零端,EP、ET是计数器使能控制端,RCO是进位信号输出端,它的主要功能有:

a.异步清零功能

若D R=0,则输出QDQCQBQA=0000,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。

b. 同步并行置数功能

在D R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。

c.进位输出RCO

在D R=1、LD=1、EP=1、ET=1的条件下,当计数器计数到1001时进位RCO=1,其余时候RCO=0。

d.保持功能

在D R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保持状态,与CP及D、C、B、A输入无关,EP、ET区别为ET=0时进位输出RC0=0,而EP=0时RC0不变。注意保持功能优先级低于置数功能。

e. 计数功能

在D R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方式为二进制加法,状态变化在QDQCQBQA=0000~1001间循环。

图2.5.1 74160 芯片管脚图

2) D触发器74LS175(锁存作用)

锁存器的作用是将计数器在1s结束时的计数值进行锁存,是显示器上获得稳定的测量值。当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,从而将计数器的输出值送到锁存器的输出端。

74LS175是用4个D 触发器组成的四位寄存器,它的逻辑电路图如图2.5.2

图2.5.2 74LS175逻辑电路图

其管脚图如图2.5.3

图2.5.3 74LS175管脚图

由图3-9的电路图可见,在CP 上升沿到达时

D —

3

D 端的状态被同时寄存

到各触发器中,直到下一个CP 上升沿到达时再一次置数。为了增加电路灵活性,74LS175中加了异步清零端MR ,当MR =0时,不需要和CP 同步,就可以完成寄存器Q0到Q3的清零工作。 3)显示译码器7447

7447七段显示译码器输出为低电平有效,用以驱动共阳极数码管。逻辑符号

见图2-17。7447有4个 BCD 码输入端 A 、B 、C 和D ,其中 D 为最高有效位,A 为最低有效位,它们分别与输出端口中的4位相连。7447的7个输出引脚 a ~g 直接与 LED 的相应引脚相连。当灭灯输入/动态灭灯输出(BI/RBO )开路或为高电平而试灯输入为低电平,则所有输出端都为1。BI/RBO 是线与逻辑,作灭灯输入(BI )或动态灭灯(RBO )之用,或者兼为二者之用

图2.5.4 显示译码器7447

a.要求0—15时,灭灯输入(BI)必须开路或保持高电平,如果不要灭十进制数零,则动态灭灯输入(RBI)必须开路或为高电平。

b.将一低电平直接输入BI端,则不管其他输入为何电平,所有的输出端均输出为低电平。

c.当动态灭灯输入(RBI)和A,B,C,D输入为低电平而试灯输入为高电平时,所有各段输出都为0,并且动态灭灯输出(RBO)为低电平(响应条件)。

d.当灭灯输入/动态灭灯输出(BI/RBO)开路或为高电平而试灯输入为低电平,则所有输出端都为1。

4)七段数码管

七段数码显示器是于发光二极管组成的,用来显示特定的的显示器。7段数码管发光二极管使用灵活,简单方便,当有电流通过时,相应的发光二极管就点亮;当电流消失没有电流时,发光二极管就灭。同样,共阳极LED显示器就是将所有发光二极管的阳极接到一起,接到电源正极。这样,当某个发光二极管的阴极加有低电平,该发光二极管即被点亮。

图2.5.5 七段数码显示器

通过a,b,c,d,e,f,g,dp 各点和公共点的电位,就可以控制个发光二极管的亮暗,而不同的发光的亮暗组合就可以显示不同的数字(dp 点是来表示小数点,在显示数字中不起作用)。比如,要显示“3”,则只需点亮a,b,c,d,g5个发光二极管,而其他均为暗,对于共阴极LED 显示器来说,就是在在这些引脚上输入高电平即可。

频率f=t N

,N 为t 时间内的振动次数,若t=1s ,则f=N.因此只要对一秒内

信号的振动次数进行计数,即可测出频率。计数器采用74LS160级联构成的10*10*10*10*10*10加计数器。将EP 、ET 接前一级74LS160的进位RC0,当D 3D 2D 1D 0=0000,则初始状态为Q 3Q 2Q 1Q 0=0000,当第九个上升沿过后,计数器处在Q 3Q 2Q 1Q 0=1001,产生RCO=1信号,同时下一级74LS160的EP 、ET 被置1,待第十个上升沿到达时,它也计数加1。这样级联可以得到多位十进制计数器。要测量1~100KHZ 的信号,则需要六位十进制计数器。级联电路图如图2.5.6所示:

5V

图2.5.6 74LS160级联电路图

当Q=1时Q*CP=CP ,计数器开始对CP 脉冲计数,经过一秒后Q 变为0,停止计数并保持。计数器输出端与D 触发器构成的数字锁存电路相连,CP1?Q 接置数脉冲输入端,当CP11?Q 为上升沿这一瞬间,将此时计数器的输出Q 3Q 2Q 1Q 0存入D 触发器中并保存。待下一个CP1?Q 上升沿到来时进行下一次置数。

当Q 为上升沿时,

D

R =1CP +Q=1,计数器开始计数,当Q 为下降沿时停止计

数,CP11?Q 为上升沿,将此时计数器的输出送入D 触发器,进行锁存,这样周而复始,不断对输入频率进行更新。D 触发器的输出端接显示译码器7447,再接共阳极七段码显示器,将D 触发器中锁存的数显示出来,这样就可以读出所测信号的频率。频率计电路图附图2所示。

3.电压幅值显示电路

本模块主要由ADC 进行数模转换,然后将所得的数字信号经过与门和或门的处理连接到显示译码器7447上,通过七段数码管显示所要的电压幅值,其原理框图如下图所示。

被测信号 ADC 数模转换 信号处理 显示输出

3.1 ADC数模转换电路

ADC引脚介绍

Vin:信号输入 vref+,vref-:参考电压 soc:时钟脉冲输入 OE:输出允许EOC:转换结束 D0~D7:数字信号输出

ADC连接图

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

单片机课程设计信号发生器

单片机课程设计实验报告 电子信息工程学院 指导教师:***

08年6月30日 单片机课程设计实验报告 一.系统总体介绍 1)题目意义: 这次课程设计的题目我选择的是信号发生器,我之所以选择这个题目的原因有三个 ①它是一个DA转换的实验,在前不久的市电子大赛中,我们做的是AD的转换,所 以想将模数/数模都熟悉一遍,为今后可能遇到的接口实验打下坚实的基础; ②另外一个原因是用到的芯片是MAX518,该芯片是串行数模转换,运用I2C总线, 通过这个实验可以更好的运用串行通信,同时能够学习I2C总线的协议,掌握了一 门新的总线,我觉得比其他实验收获更大; ③MAX518的时序比较复杂,通过练习针对时序的编程可以更好的提高自己读PDF 资料的能力和编程的能力。 2)本人所做的工作 这个实验从始至终都是自己完成的。 ①程序的编写,程序的编写是我结合MAX518的时序图编写出来的,编程的重点在于 对与MAX518的编程,在编程的过程中对于应答信号的理解和处理是整个程序的核心,在单步调试中能够很明显的观测到SDA和SCL信号线上电平的变化; ②四种波形的表格数据的建立。表格的建立是通过MATLAB函数产生的。其函数分别 为:正弦波y=round(127*sin(0:2*pi/256:2*pi))+127 锯齿波y=round(0:1:255) 三角波y=round(0:2:255) Y=round(255:2:0) 方波直接是0和255 由于MATLAB产生的数据之间含有回车和空格,不符合汇编语言的语法规则,所以要用WORD对所得的数据进行处理,利用WORD的查找替换同能讲回车和空格替换为英文的逗号,其中回车的表示方法为^p ③学习KEIL和SSTFlashFlex51.exe的使用 ④元器件的购买和焊接 ⑤实验报告的完成 3)系统的主要功能 该系统能够产生正弦波,锯齿波,三角波和方波四种波形,同时能够产生16HZ,12HZ,10HZ,8HZ四种频率,也就是可以产生4*4=16种信号,通过8个按键

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

模拟电路课程设计-函数信号发生器

模拟电路课程设计——函数信号发生器 一、设计任务和要求 1 在给定的±12V直流电源电压条件下,使用运算放大器设计并制作一个函 数信号发生器。 2 信号频率:1kHz~10kHz 3 输出电压:方波:Vp-p≤24V 三角波:Vp-p≤6V 正弦波: Vp-p>1V 4 方波:上升和下降时间:≤10ms 5 三角波失真度:≤2% 6 正弦波失真度:≤5% 二、设计方案论证 1.信号产生电路 〖方案一〗 由文氏电桥产生正弦振荡,然后通过比较器得到方波,方波积分可得三角波。三角波 这一方案为一开环电路,结构简单,产生的正弦波和方波的波形失真较小。但是对于三角波的产生则有一定的麻烦,因为题目要求有10倍的频率覆盖系数,然而对于积分器的输入输出关系为: 显然对于10倍的频率变化会有积分时间dt的10倍变化从而导致输出电压振幅的10倍变化。而这是电路所不希望的。幅度稳定性难以达到要求。而且通过仿真实验会发现积分器极易产生失调。 〖方案二〗 由积分器和比较器同时产生三角波和方波。其中比较器起电子开关的作用,将恒定的正、负极性的 方波 三角波 电位交替地反馈积分器去积分而得到三角波。该电路的优点是十分明显的: 1 线性良好、稳定性好;

2 频率易调,在几个数量级的频带范围内,可以方便地连续地改变频率, 而且频率改变时,幅度恒定不变; 3 不存在如文氏电桥那样的过渡过程,接通电源后会立即产生稳定的波 形; 4 三角波和方波在半周期内是时间的线性函数,易于变换其他波形。 综合上述分析,我们采用了第二种方案来产生信号。下面将分析讨论对生成的三角波和方波变换为正弦波的方法。 2.信号变换电路 三角波变为正弦波的方法有多种,但总的看来可以分为两类:一种是通过滤波器进行“频域”处理,另一种则是通过非线性元件或电路作折线近似变换“时域”处理。具体有以下几种方案: 〖方案一〗 采用米勒积分法。设三角波的峰值为,三角波的傅立叶级数展开: 通过线性积分后: 显见滤波式的优点是不太受输入三角波电平变动的影响,其缺点是输出正弦波幅度会随频率一起变化(随频率的升高而衰减),这对于我们要求的10倍的频率覆盖系数是不合适的。另外我们在仿真时还发现,这种积分滤波电路存在这较明显的失调,这种失调使输出信号的直流电平不断向某一方向变化。 积分滤波法的失调图(Protel 99 SE SIM99仿真) 而且输出存在直流分量。 〖方案二〗 才用二极管-电阻转换网络折线逼近法。十分明显,用折线逼近正弦波时,如果增多折线的段数,则逼近的精度会增高,但是实际的二极管不是理想开关,存在导通阈值问题,故不可盲目的增加分段数;在所选的折线段数一定的情况下,转折电的位置的选择也影响逼近的精度。凭直观可以判知,在正弦波变化较快的区段,转折点应选择的密一些;而变化缓慢的区段应选的稀疏一些。 二极管-电阻网络折线逼近电路对于集成化来说是比较简单,但要采用分立元件打接则会用到数十个器件,而且为了达到较高的精度所有处于对称位置的电阻和

函数信号发生器的使用方法规定

函数信号发生器的使用方法规定 1、目的:为操作人员作操作指导。 2、范围:适用于函数信号发生器操作人员。 3、操作步骤: 3.1注意事项 仪器在只使用“电压输出端”时应将“输出衰减”开关置于“0dB”~“80dB”内的位置,以免功率指示电压表指示过大而损坏。 3.2使用方法 3.2.1开机:在未开机前应首先检查仪器外接电源是否为交流220V±10%,50Hz±5%, 并检查电源插头上的地线脚应与在地接触良好,以防机壳带电。面板上的电源开关 应放在“关”位置,“电平调节”旋钮置中间,输出衰减旋钮置“0dB”,频段开关设 置在你所需要的频段。 3.2.2频率选择:首先将频段开关设置在你所期望的频率范围内,然后调节频率调谐旋钮 和频率微调旋钮,至数码管上指示你所需要的频率为止。 3.2.3波形选择:波形开关在“~”位置,可在电压输出端获得全频段的电压正弦信号,在 功率输出端可获得20Hz~100kHz的功率输出;波形开关在“”位置,在电压输 出端可获得全频段的电压方波信号。输出衰减在功率输出端8Ω档同样可以获得 20Hz~100kHz的方波功率输出。 3.2.4输出电压调整:电压输出端的输出电压可通过“电平调节”旋钮连续可调。 3.2.5功率输出调整:功率输出端的输出同由“电平调节”旋钮控制调节,并可通过“输 出衰减”进行80 dB的衰减。“输出衰减”控制开关上有8Ω和600Ω二档匹配档, 用以匹配低阻和较高负载以获取最大输出功率。 3.2.6功率的平衡输出:本仪器600Ω功率输出档可进行平衡输出,方法是可将面板上中间 红色接线柱和黑色接线柱之间的接地片取下,接在两个红色接线柱上即可,但本仪器连接的其它仪器也应不接在“地”电位。

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

函数信号发生器使用说明(超级详细)

函数信号发生器使用说明 1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 表1 序 面板标志名称作用号 1电源电源开关按下开关,电源接通,电源指示灯亮 2 1、输出波形选择 波形波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200

函数信号发生器实验报告

北京邮电大学 电子电路综合设计实验报告 课题名称:函数信号发生器的设计和调试 院系:信息与通信工程学院 班级: 2012211113 姓名:李鸣野 学号:2012210362 班内序号:01 摘要 函数(波形)信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。

关键词:方波,三角波,正弦波 基本要求: a)设计一个设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器 1)输出频率能在1-10khz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%--70%; 3)三角波Uopp=8V; 4)正弦波Uopp≥1V。 b)用PROTEL软件绘制完整的电路原理图(SCH) 设计思路: 要产生方波,需要用稳压管和比较器组成方波产生电路。稳压管为实验提供的6v稳压管。方波经过RC积分电路积分得到三角波,幅度为Uo2m=±(UZ+UD),由R1和Rf的比值及稳压管的稳压值决定,实验要求三角波峰峰值为8v,故根据公式推导后,选用20K的电阻作为R1,30K的电阻作为Rf。R3为12K。R4为直流平衡电阻,应与R2保持一致,均为5K。R0为限流电阻,根据实验要求选用2K。 三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。R e取阻值为100Ω,C1、C2、C4为隔直流电容,取C1=C2=C3=33uF。Rp1调节三角波

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

实验 函数信号发生器的原理与使用

电子科学系实验报告 系班组实验日期年月日姓名学号同组姓名 实验操作评定:好、较好、基本掌握、较差指导老师 实验二函数信号发生器的原理与使用 二、实验目的: 二、实验仪器和设备 三、实验内容 内容: 1 熟悉掌握函数发生器各个操作部件的功能 2. 实验验证各个功能的实现过程 3 用示波器观察各种输出信号 4 验证个功能指标是否符合仪器的标示 5 总结说明仪器的特点及应用 四、实验原理 使用一个激发装置(即信号源)来激励一个系统,以便观察、分析它对激励信号的反映如何,这是电子测试技术的标准实验之一。在设计、制造飞机时,需要事先了解机体及其有关设备在各种气流、雷击、雨水、温变干扰下的反映情况;在发展冶炼技术时,需要了解炉内物态随炉脸温度燃油器喷口温度而变化的动态过程;在分析一个电子线路时,常常需要了解输出信号频率及振幅与输入信号频率及振幅之间的关系。这样,在进行上述过程的硬件或软件的模拟实验时.就需要人为地产生各种模仿的信号。系统在这些模仿的信号的激励下产生各种反应,因此,称它们为激励信号。产生这些信号的仪器设备称为信号源。 信号源包括函数信号发生器、脉冲信号发生器、音频信号发生器、任意波形信号发生器以 及扫描频率发生器等多种设备,用于各种各样的工程测试。图11.1所示的产品系列树反映出信号源之间的关系,其中直接数字器件合成(DDS)是一种较新的技术,它利用了最

现代化的数字器件的能力,成为系列产品的主干,发展出函数发生器相任意波形发生器这样高水平的产品。 基本的函数发生器提供正弦波、方波和三角波,频率范围在1MHz到约50MHz之间。图11.2显示的是一个包含两个运算放大器的基本函数发生器。器件A1是一个积分器,它提供一个三角波输出信号,它所产生的三角波信号通过正弦波形成电路而产生正弦波信号输出。器件A2是一个电压比较器,它产生一个方波信号。大多数普通价格的函数发生器都以一些单片式集成电路(IC)为基础,并能提供正弦波、方波和三角波。价格较高者则能提供触发信号*只有较宽的频率范围祁较稳定的频率.具有可变的上升时间(对方波而言)和可变的直流补偿.具有较高的频率准确度和较强的输出驱动能力,旦波形失真度小。

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版 6 设计总结 7仪器仪表明细清单 8 参考文献

1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。 2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波U P-P≤24V,三角波U P-P =8V,正弦波U P-P >1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。

2.函数发生器总方案及原理框图 图1-1 整体原理框图 2.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法。 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路的基本结构是比例放大器,对不同区段内比例系数的切换,是通过二级管网络来实现的。如输出信号的正半周内由D1~D3控制切换,负半周由D4~D6控制切换。电阻Rb1~Rb3与Ra1~Ra3分别组成分压器,控制着各二极管的动作电平。

相关主题