搜档网
当前位置:搜档网 › 数字电路试题五套(含答案)

数字电路试题五套(含答案)

数字电路试题五套(含答案)
数字电路试题五套(含答案)

《数字电子技术》试卷一

一、 填空(每空1分,共25分)

1、(10110)2=( )10=( )16

(28)10=( )2=( )16

(56)10=( )8421BCD

2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。

4、基本RS 触发器的特征方程为_______ ,约束条件是 __.

5、若存储器的容量是256×4RAM ,该RAM 有 ___存储单元,有 字,字长

_____位,地址线 根。

6、用N 位移位寄存器构成的扭环形计数器的模是________.

7、若令JK 触发器的J=K=T 则构成的触发器为_______.

8、如图所示,Y= 。

9、如图所示逻辑电路的输出Y= 。

10、已知Y=D AC BC B A ++,则Y = ,Y/= 。

11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。

二、化简(每小题5分,共20分)

1、公式法化简

++++

(1)Y=ABC ABC BC BC A

=+++

(2)Y ABC A B C

2、用卡诺图法化简下列逻辑函数

=+++

(1)Y BCD BC ACD ABD

Y=∑+∑

(2)(1,3,4,9,11,12,14,15)(5,6,7,13)

m d

三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形

(10分)

四、用74LS161四位二进制计数器实现十进制计数器(15分)

五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分)

r

C Q A 、Q B 、Q C 、Q

D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端;

r C :异步复位端;

CP :时钟控制输入端;

D L :同步并置数控制端;

C :位输出端;

六、试分析如图电路的逻辑功能,设各触发器的初始状态为0(15分)

《数字电子技术》试卷一参考答案

一、

填空(每空1分,共25分)

1、10(22)、16(16);2(11100)、16(1)C ;8421(01010110)BCD 。

2、与、或、非。

3、2N

4、10

n n Q S RQ RS +=+=

5、1024、25

6、4位、8根。 6、2N 。

7、T 触发器。 8、Y=A+B 。 9、Y AB CD =+

10、()()()Y A B B C A C D =++++;Y

=()()()A B B C A C D ++++

11、即刻输入、即刻输出;输入信号、原来状态。

二、

化简(每小题5分,共20分)

1、公式法:Y A C =+;1Y =

2、卡诺图法:Y BC BD =+;Y B D =+

三、(本题10分)

四、(本题15分)

反馈置“0”法:

五、(本题15分)

解:根据设计要求,设输入变量为A (主评判员)、B 、C (副评判员)=1时,认为合格;A 、B 、C=0时认为不合格;输出变量为L=1通过,L=0不通过。

六、(本题15分)

《数字电子技术》试卷二

一、填空(每空1分,共20分)

1、(1001101)2=( )10=( )8=( )16;

(27)10=(

)8421BCD 。

2、客观事物的最基本的逻辑关系有____ 逻辑____ 逻辑和_____逻辑三种。

3、函数1F AB BC =+的反演式1F = ;函数2F A BC =+的对偶式

'2F = 。

4、51个“1”连续进行异或运算,其结果是 。

5、基本R-S 触发器的特征方程为_______ ;约束条件是 。

6、按照逻辑功能的不同特点,数字电路可分为______________、_____________两大类。

K=0、J=1时,触发器状态为_________;J=K=1时,触发器状态__________。

8、某中规模寄存器内有3个触发器,用它构成的扭环型计数器模长为 ;构成最长模

计数器模长为 。

二、化简(每题5分,共20分) 1、用公式法化简下列逻辑函数。 1) AB B A AB B A F ++⊕=)(

2)F AB AD BD BCE =+++

2、用卡诺图法化简下列逻辑函数。 1)∑=m F (0,2,3,4,8,10,11)

2) ∑=m F (0,1,4,9,12,)+∑d (2,3,6,10,11,14)

三、设计一个三变量判偶电路,当输入变量A,B,C中有偶数个1时,其输出为1;否则输出为0。并用3/8线译码器(74LS138)和适当门电路实现。(16分)

四、如下图所示维持阻塞D触发器,设初态为0。根据CP脉冲及A输入波形画出Q波形。(8分)

五、用74LS161构成六进制计数器,用两种方法实现,并画出状态图。74LS161的功能表

如下所示。(16分)

六、试分析下图的逻辑电路,写出电路的驱动方程、状态方程、列出状态转换真值表、画出

状态转换图,说明电路的逻辑功能。(20分)

《数字电子技术》试卷二参考答案

一、填空(每空1分,共20分) 1、77,115,4D ,00100111。 2、与、或、非。

3、()()A B B C ++,()A B C +。

4、1。

5、1n n Q S RQ +=+,1R S +=(或RS=0)。

6、组合逻辑电路,时序逻辑电路。

7、保持,置“0”,置“1”,翻转(或计数)。

8、6,7。

二、化简(每题5分,共20分)

1、1)F=A+B 2)F AD B =+

2、1)F BD BC AC D =++ 2)F AB BD BD =++

《数字电子技术》试卷三

一、填空题(共19分,每空1分)

1.按逻辑功能的不同特点,数字电路可分为 和 两大类。

2.在逻辑电路中,三极管通常工作在 和 状态。 3.(406)10=( )8421BCD

4.一位数值比较器的逻辑功能是对输入的 数据进行比较,它有 、 、 三个输出端。

5.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接 电平。

6.单稳态触发器有两个工作状态 和 ,其中 是暂时

的。

7.一般ADC 的转换过程由 、 、 和 4

个步骤来完成。

8.存储器的存储容量是指 。某一存储器的地址线为A 14~A 0 ,数据线为

D ~D ,其存储容量是 。

一、 判断题(共16分,每题2分)

1.TTL 或非门多余输入端可以接高电平。( )

2.寄存器属于组合逻辑电路。( )

3.555定时器可以构成多谐振荡器、单稳态触发器、施密特触发器。( )

4.石英晶体振荡器的振荡频率取决于石英晶体的固有频率。( )

5.PLA 的与阵列和或阵列均可编程。( )

6.八路数据分配器的地址输入(选择控制)端有8个。( )

7.关门电平U OFF 是允许的最大输入高电平。( )

8.最常见的单片集成DAC 属于倒T 型电阻网络DAC 。( )

三、选择题(共16分,每题2分)

1.离散的,不连续的信号,称为( )。

A .模拟信号 B.数字信号

2.组合逻辑电路通常由( )组合而成。 A .门电路 B.触发器 C.计数器

3.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。

A .111 B.010 C.000 D.101

4.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8

5.一位8421BCD 码译码器的数据输入线与译码输出线的组合是( )。 A .4:6 B.1:10 C.4:10 D.2:4

A .逐次逼近型ADC B.双积分ADC C.并联比较型ADC

7.ROM 属于( )。

A .组合逻辑电路 B.时序逻辑电路

8.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲

CP 作用下,四位数据的移位过程是( )。

A.1011--0110--1100--1000—0000

B.1011--0101--0010--0001—0000

四、综合题(32分)

1、对下列Z 函数要求:(1)列出真值表;(2)用卡诺图化简;(3)画出化简后的逻辑图。

(9分)

Z=C B A C B A B A ??+??+ BC=0

2、对下列门电路:(1)写出门电路的名称;(2)写出它们的输出。(8分) 例:

与门 Y=AB

(a)

(b) (c)

(a)

(b) (c) (d) (d) 3、分析下列电路是几进制的计数器。(10分)

Y

B

A Y

B

A B

A Y

EN C u O u I

路,(3)画出相应的输出波形。(5分)

555定时器功能表

五、设计题(17分)

1、试用3线—8线译码器74LS138和门电路实现下列函数。(10分)

Z (A 、B 、C )=AB+A C

2、 74LS161是同步4位二进制加法计数器,其逻辑功能表如下,试分析下列电路是几

进制计数器,并画出其状态图。(7分)

74LS161逻辑功能表

O

u I

V CC 1 3 V 2 3 V

u o

u t

t

《数字电子技术》试卷三答案 一、填空题(共19分,每空1分) 组合逻辑电路、 时序逻辑电路 1. 饱和、 截止

2. 0100 0000 0110

3. A 和B 两个、 Y A >B 、 Y A

5. 稳态、 暂稳态、暂稳态 6. 采样、 保持、 量化、 编码 7. 存储单元的总和、 215×4

二、判断题(共16分,每题2分)

1.× 2.× 3.√ 4.√ 5.√ 6.× 7.× 8.√

三、选择题(共16分,每题2分)

1.B 2.A 3.C 4.C 5.C 6.B 7.A 8.A

四、综合题

1、解

(1)真值表 (2分) (2)卡诺图化简(3分)

CP

“1” “1” “1”

(3)逻辑图 (表达式2分,逻辑图2分)

Z=C B A B A +

+=A ⊕B+C BC=0

2、解

(a) 异或门 Y=B A B A += A ⊕

B (2分) (b) 集电极开路与非门 Y=B A ?(

2分) (c) 三态门 EN =0时, Y=B A ?;EN =1时,Y=高阻抗 (2分) (d) CMOS 传输门 C=1、C=0时,u O = u I (2分)

3、解: n n

0010101,1,,J K J Q K Q ==== 10Z Q Q = (1分)

n

n+1n ()Q JQ KQ CP =+↓

n+1n n n n n 00000000Q 11 ()J Q K Q Q Q Q CP =+=?+?=↓

n+1n n n n n n 111110101Q ()J Q K Q Q Q Q Q CP =+=+↓ (2分)

状态表 (3分)

归纳上述分析结果可知,该时序电路为同步4进制加法计数器。(2分)

4、

Z

C

B A Q 1Q 0/Z

(2分)

五、设计题目

1、解:Z (A 、B 、C )=AB +A C =AB (C +C )+A C (B +B )

=ABC +AB C +A BC +A B C = m 1+ m 3+ m 6+ m 7

=7 6 3 1 m m m m ??? (5分)

(5分)

2、(1)当74LS161从0000开始顺序计数到1010时,与非门输出“0”,清零信号到来,异步清零。(1分)

(2)该电路构成同步十进制加法计数器。(2分) (3)状态图(4分)

“1

Z

1 3 V

2

3 V u u

《数字电子技术》试卷四

一、填空题:(15×2=30分)

1.完成数制转换 (101011111)2=( )16=( )8421BCD , (3B)16=( )10=( )8421BCD

2.三种基本的逻辑运算关系是 、 、 。

3.Z=AB+AC 的对偶式为 。

4.晶体三极管有三种工作状态: 、 、 ,在数字电路中三极管一般作为开关元件使用,即工作在 和 。

5.存储8位二进制信息,要 个触发器。

6.JK 触发器特征方程为 。

二、单项选择题:(5×3=15分)

1.下列各式中的四变量A 、B 、C 、D

(A)ABCD (B)AB(C+D) (C)A (D)A+B+C+D 2.Y=A B C D C

+++的反函数为 。 (A)Y =()A B C DC +??? (B)Y =()A B C DC +??? (C)Y =()A B C DC +??? (D)Y =()A B C DC +???

3.四个逻辑变量的取值组合共有 。 (A)8 (B)16 (C)4 (D)15

4.已知逻辑函数F (A ,B )=AB +AB ,是函数值为1的A ,B 取值组合是: 。 (A)00,11 (B)01,00 (C)01,10 (D)01,11

5.2048×8位RAM 芯片,其数据线的个数是: 。 (A)11 (B)8 (C)14 (D)211

三、综合题。(55分)

1. 用与非门实现逻辑函数 Z=AB+AC (5分)

2. 判断函数C AB C B A D A Z ++=是否会出现竞争冒险现象。(10分)

3. 用数据选择器实现函数Z=F(A,B,C)=Σm (0,2,4,5,6,7)(10分)

X 2

X 1 X 0

D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7

Z

A B C

4.下列电路为几进制计数器?画出状态转换图。(12分)

5.试分析图示电路,写出其驱动方程、输出方程、状态方程,画出状态转换表、状态转换图、说明其逻辑功能。(18分)

《数字电子技术》试卷四答案

一、填空题

1.15F、1101010001,59、1011001

2.与、或、非

3. (A+B) (A+C)

5.8

6.Q n +1

=JQ n

+ KQ n

二、选择题 A A B C B

三、综合题

2.会出现竞争冒险。例:B =1,C =0,D =1;B =0,C =1,D =1等等

3.

4.10进制计数器。从0110 1111

5.驱动方程:J1=K1=1

J2=K2=1n Q

J3=K3=2n

Q 1n Q

输出方程:C =321n

n

n

Q Q Q 状态方程:

从000 111

同步8进制加法计数器,当计数到111状态时C 输出1

《数字电子技术》试卷五

一、 填空题(20分)

1.数字信号只有 和 两种取值。

A

B

C 111122113321321n n

n n n

n n n n n n n

Q Q Q Q Q Q Q Q Q Q Q Q +++==⊕=+

2.十进制123的二进制数是 ;八进制数是 ;十六进制数是 。

3.设同或门的输入信号为A 和B ,输出函数为F 。

若令B=0,则F= 若令B=1,则F=

4.三态门的输出有 、 、 三种状态。 5.设JK 触发器的起始状态Q=1

若令J=1,K=0,则=+1n Q 。 若令J=1,K=1,则=-1n Q 。

6.BCD 七段翻译码器输入的是 位 码,输出有 个。 7.一个N 进制计数器也可以称为 分频器。

8.有一个6位D/A 转换器,设满度输出为6.3V ,输入数字量为110111,则输出模拟电压为 。

9.设ROM 容量为256字×8位,则它应设置地址线 条,输出线 条。 10.用256字×4位RAM ,扩展容量为1024字×8位RAM ,则需要 片。

二 、选择题(20分)

1. 离散的,不连续的信号,称为( ) A 、模拟信号 B 、数字信号

2. 组合逻辑电路通常由( )组合而成。 A 、门电路 B 、触发器 C 、计数器

3. 十六路数据选择器的地址输入(选择控制)端有( )个 A 、16 B 、2 C 、4 D 、8

4. 一位8421BCD 码译码器的数据输入线与译码输出线的组合是( ) A 、4:6 B 、1:10 C 、4:10 D 、2:4 5. 能实现脉冲延时的电路是( )

A 、多谐振荡器

B 、单稳态触发器

C 、施密特触发器 6.8线—3线优先编码器的输入为70I I - ,当优先级别最高的7I 有效时,其输出012Y Y Y 的值是( )

A 、111

B 、010

C 、000

D 、101

7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A 、J=K=0 B 、J=K=1 C 、J=O ,K=1

8.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )

A 、1011—0110—1100—1000—0000

B 、1011—0101—0010—0001—0000 9.有一位二进制数码需要暂时存放起来,应选用( )

A 、触发器

B 、2选1数据选择器

C 、全加器 10.EPROM 是指( )

A 、随机读写存储器

B 、可编程逻辑阵列

可编程只读存储器 D 、可擦除可编程只读存储器

三 、判断题(10分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

最新4月浙江自考数字电路试题及答案解析

1 浙江省2018年4月自学考试数字电路试题 课程代码:02344 本试卷分A 、B 卷,使用1999年版本教材的考生请做A 卷,使用2018年版本教材的考生请做B 卷;若A 、B 两卷都做的,以B 卷记分。 A 卷 一、填空题(本大题共10小题,每空1分,共10分) 请在每小题的空格中填上正确答案。错填、不填均无分。 1.两输入与非门输入为01时,输出为__________。 2.逻辑变量和逻辑函数只有__________两种取值,而且它们只是表示两种不同的逻辑状态。 3.函数Y=AB +A C 的最小项表达式为__________。 4.使用OC 门时,输出端应接上__________和电源才能正常的工作。 5.组合逻辑电路是指任何时刻电路的输出仅由当时的__________决定。 6.8线—3线优先编码器74LS148的优先编码顺序是0567I I ,I ,I ,输出012Y Y Y 。输入输出均为低电平有效。当输入0567I I ,I ,I 为11010101时,输出012Y Y Y 为__________。 7.D 触发器,当D 与__________相连时,转化为T ′触发器。 8.某计数器的输出波形如图1所示,该计数器是__________进制计数器。 9.设计一个8421BCD 码加法计数器,至少需要__________个触发器。 10.双积分型A/D 转换器相对逐次比较型A/D 转换器的转换速度要__________。 二、单项选择题(本大题共15小题,每小题2分,共30分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 11.已知二进制数01001010,其对应的十进制数为( ) A.48 B.74

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路精彩试题五套(含问题详解)

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。

二、化简(每小题5分,共20分) 1、公式法化简 ++++ (1)Y=ABC ABC BC BC A =+++ (2)Y ABC A B C 2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形 (10分)

四、用74LS161四位二进制计数器实现十进制计数器(15分) 五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP A B C D Cr Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端; C :位输出端;

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

数字电路答案大全

浙江省2002年4月高等教育自学考试 数字电路试题 课程代码:02344 一、填空题(每小题2分,共20分) 1.(3AD.08)16=(_________)10=(_____)8 2.CMOS的最基本的逻辑单元是由_________和_________按照互补对称形式连接起来构成 的。 3.按照数据写入方式特点的不同,ROM可分为掩膜ROM,_________,_________。 4.基本RS触发器的约束条件,由与非门构成的为_________,由或非门构成的为________。 5.二值逻辑中,变量的取值不表示_________,而是指______。 6.开关的开通时间t on是指开关由_________状态转换到_____状态所需的时间。 7.描述时序电路的逻辑表达式为_________、_____和驱动方程。 8.施密特触发器具有_________特性,定义为参数△U T=_________。 9.TTL反相器输入接电阻R i>2.5kΩ时,输出电压u0为_________,通常把2.5kΩ电阻称为 _________。 10.用组合电路构成多位二进制数加法器有_________和_____二种类型。 二、单项选择题(在每小题的四个备选答案中,选出一个正确答案,并将正确答案的序号填在 题干的括号内。每小题2分,共20分) 1.若ABCDEFGH为最小项,则它有逻辑相邻项个数为( ) A. 8 B. 82 C. 28 D. 16 2.半导体二极管截止时,外加电压u D为( ) A. <1.4v B. <1v C. <0.7v D. <0.5v 3.如果编码0100表示十进制数4,则此码不可能是( ) A. 8421BCD码 B. 5211BCD码 C. 2421BCD码 D. 余3循环码 4.用或非门构成基本触发器,发生竞态现象时,RS变化为( ) A. 00→11 B. 01→10 C. 11→00 D. 10→01 5.构成移位寄存器不能采用的触发器为( ) A. R-S型 B. J-K型 C. 主从型 D. 同步型 6.555定时器构成的单稳态触发器输出脉宽t w为( ) A.1.3RC B.1.1RC C.0.7RC D.RC 7.A/D转换器中,转换速度最高的为( )转换。 A. 并联比较型 B. 逐次渐近型 C. 双积分型 D. 计数型 8.TTL参数由大到小排列正确的是( ) A. U OHmin、U IHmin、U ILmax、U OLmax B. U IHmin、U OHmin、U OLmax、U ILmax C. U OHmin、U IHmin、U OLmax、U ILmax D. U IHmin、U OHmin、U ILmax、U OLmax 9.4位集成数值比较器至少应有端口数( )个。 A. 18 B. 16 C. 14 D. 12 10.以下PLD中,与、或阵列均可编程的是( )器件。 A. PROM B. PAL C. PLA D. GAL 三、分析题(1、2、3题各5分,4、5、6、7题各6分,共39分) 1.用公式和定理化简

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路与逻辑设计试题四及答案

《数字集成电路基础》试题四 (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、 填空题(共30分) 1. PN 结具有单向导电性。正向偏置时,多子以 __________________运动为主,形成正向电流;反向偏置时,少子____________________运动形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、_____、_____。 3. 除去高、低电平两种输出状态外,三态门的第三态输出称为________状态。 4. 十进制数238转换成二进制数是______;十六进制数是_______。 5. 组合逻辑电路不存在输出到输入的________通路,因此其输出状态不影响输入状态。 6. 对于上升沿触发的D 触发器,它的次态仅取决于CP ______沿到达时________的状态。 7. 同步RS 触发器的特性方程为Q n+1=____________;约束方程为_________。 8. 下图所示电路中,Y 1 =__________;Y 2 =____________;Y 3 二、选择题(共 15分) B V C C Y 1

1. 下列函数中,是最小项表达式形式的是_________ A. Y=A+BC B. Y 2 =ABC+ACD C. Y=AB C+ABC D. Y=A BC+ABC 2. 对于四位二进制译码器,其相应的输出端共有________ A . 4个 B. 16个 C. 8个 D. 10个 3.用8421码表示的十进制数45,可以写成__________ A . 45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.属于组合逻辑电路的是___________ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.某计数器的状态转换图如下,其计数的容量为______ A . 八 B. 五 C. 四 D. 三 三、化简下列逻辑函数,写出最简与或表达式(共15分) 1. Y 1=AB +AC +A BC 2. Y 2=Σm (0,2,3,4,5,7) 3. Y 3见图

数字电子技术模拟考试试题及答案

数字电子技术模拟考试试 题及答案 Last revision on 21 December 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 (15) 可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同

1、证明:B A B A A +=+(4分) 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1= ;CP0= 。 2)列出其驱动方程:(4分) J1= ;K1= ;J0= ;K0= 。 3)列出其输出方程:(1分) Z = 4)求次态方程:(4分) =+11n Q ;=+10n Q 5)作状态表及状态图(9分) Z

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

相关主题