搜档网
当前位置:搜档网 › 单片机应用技术课程设计

单片机应用技术课程设计

单片机应用技术课程设计
单片机应用技术课程设计

单片机应用技术课程设计

目录

第一章绪论 (1)

1.1设计的目的及意义 (1)

1.2设计要求: (1)

1.3设计内容: (2)

第二章硬件方案设计 (2)

2.1 方案设计 (2)

2.2 设计论证 (3)

2.3硬件设计 (4)

2.4总体设计方案 (7)

第三章软件设计 (8)

3.1汉字的存储 (8)

3.2 汉字显示 (9)

3.2.1列循环扫描 (9)

3.2.2字符样式设计 (10)

3.2.3字母循环扫描及期间的延时环节.. 12

3.3 整个完整的程序 (12)

第四章全文总结 (16)

参考文献 (18)

第一章绪论

1.1设计的目的及意义

1. 使学生具备作为电子与信息技术生产、服务和管理领域工作的高素质,同时具备高级专门技术人才所应具备的电子设计自动化的基本知识、基本技能

2. 为学生学习专业知识,增强实践操作能力,从而进一步与理论学习相结合。

3. 通过单片机控制课程设计,学生能够掌握智能化控制系统及电子产品开发的一般过程,其中包括系统整体设计、硬件电路调试、软件编程调试、结果数据分析等多方面的内容。

1.2设计要求:

1.熟悉使用软件PROTEL DXP进行系统电路的设计。

2.熟悉使用WA VE 6000或keil c51进行程序编写,编译和运行调试。

3.熟悉使用PROTUES软件绘制电路并进行程序仿真。

1.3设计内容:

利用单片机原理,设计八路电压巡回检测系统

第二章硬件方案设计

2.1 方案设计

2.2 设计论证

图文显示一般有静态和动态显示两种方案,静态方案虽然设计简单,但其使用的管脚太多,如本设计中16x16的点阵共有256个发光二极管,显然单片机没有这么多的端口,如果我采用锁存器来扩展端口,按8位的锁存器来计算,16x16的点阵需要256/8=32个锁存器。这个数字很庞大,因为我们仅仅是16x16的点阵,在实际应用中的显示屏往往要大得多,这样在锁存器上花的成本将是一个很庞大的数字。因此在实际应用中的显示屏几乎都不采用这种设计,而采用另外一种称为动态扫描的显示方法。 动态扫描的意思简单地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(比如16行)的同名列共用一套驱动器。具体就16x16的点阵来说,把所有同1行的发光管的阳极连在一起,把所有同1列的发光管的阴极连在一起(共阳极的接法),先送出对应第一行发光管亮灭的数据并锁存,然后选通第1行使其燃亮一定时间,然后熄灭;再送出第二行的数据并锁存,然后选通第2行使其燃亮相同的时间,然后熄灭;以此类推,第16行之后,又重新燃亮第1行,反复轮回。当这样轮回的速度足够快(每秒24次以上),由于人眼的视觉暂留现象,就能够看到显示屏上稳定的图形了。

采用扫描方式进行显示时,每一行有一个行驱动器,各行的同名列共用一个驱动器。显示数据通常存储在单片机的存储器中,按8位一个字节的形式顺序排放。显示时要把一行中各列的数据都传送到相应的列驱动器上去,这就存在一个显示数据传输的问题。从控制电路到列驱动器的数据传输可以采用并列方式或串行方式。显然,采用并行方式时,从控制电路到列驱动器的线路数量大,相应的硬件数目多。当列数很多时,并列传输的方案是不可取的。 采用串行传输的方法,控制电路可以只用一根信号线,将列数据一位一位传往列驱动器,在硬件方面无疑是十分经济的。但是,串行传输过程较长,数据按顺序一位一位地输出给列驱动器,只有当一行的各列数据都以传输到位之后,这一行的各列才能并行地进行显示。这样,对于一行的显示过程就可以分解成列数据准备(传输)和列数据显示两部分。对于串行传输方式来说,列数据准备时间可能相当长,在行扫描周期确定的情况下留给行显示的时间就太少了,以致影响到LED 的亮度。

解决串行传输中列数据准备和列数据显示的时间矛盾问题,可以采用重叠处理的方法。即在显示本行各列数据的同时,传送下一列数据。为了达到重叠处理的目的,列数据的

显示就需要具有所存功能。经过上述分析,就可以归纳出列驱动器电路应具有的功能。

对于列数据准备来说,它应能实现串入并处的移位功能;对于列数据显示来说,应具有并行锁存的功能。这样,本行已准备好的数据打入并行锁存器进行显示时,串并移位寄存器就可以准备下一行的列数据,而不会影响本行的显示。

2.3硬件设计

(1)单片机系统及其管脚

常用的时钟电路设计有两种方式,一种是内部时钟方式,一种是外部时钟方式。本实验采用内部时钟方式,将XTAL1与XTAL2之间跨接一个石英晶振和微调电容,从而构成一个稳定的自激震荡器。电容值取30pF 左右,其大小将影响震荡频率的高低、振荡器的稳定性和起振的快速性。为减少线间的寄生电容,晶振和电容应尽能安装得与单片机靠近,保证晶振稳定可靠的工作。

另一部分是复位部分。上电自动复位电路是最简单的复位电路,只需要一个1K 左右电阻、一个22pF 左右的电容及12MHZ 的晶振。有时还需要按键手动复位,此时只要在电容上并联一个按键即可。 单片机信号输出采用串行输出,因此在下一模块的移位寄存器要与该部分的串行口P3.0(RXD )及P3.1(TXD )相连 其图形如下图

(2)点阵及其驱动部分

点阵显示用是动的态扫描来实现的。在采用扫描方式显示时,由于每行要带动十六个二极管,每行电流较大。若每个二极管安5mA 计算,十六个二极管就得80mA 电流,超出单片机管脚的承受范围,因此每行都加有一个驱动器,本设计的行驱动用的是十六个pnp 型三极管。三极管的发射极接5V

电压,集电极接点阵的行线,而其基级本应接单

图二 单片机

图一 单片机

片机,但该接线方式占用为了16个单片机管脚,为了节省单片机管脚,用了一片74LS154译码器,这样就只需要3个管脚了。74LS154的管脚图及其说明如图三。点阵及其驱动部分总体接线图如图五(未画完整)

各行的同名列共用一个列驱动,数据通常存储在单片机的存储器中,按8位一个字节的形式存放。由于列线过多,故多采用串行传输。由于每次要传输16位,而且数据要逐位输给驱动器,只有当一行中各列数据都已传输到位后,这一行的各列才能进行并行显示,耗时较长。为了满足以上要求,驱动选择74LS595移位寄存器。74HC595是硅结构的CMOS器件,。74HC595是有8位移位寄存器和一个存储器,三态输出功能。移位寄存器和存储器是分别的时钟。数据在SCHcp的上升沿输入,在STcp的上升沿进入的存储寄存器中去。如果两个时钟连在一起,则移位寄存器总是比存储寄存器早一个脉冲。移位寄存器有一个串行移位输入(Ds),和一个串行输出(Q7’),和一个异步的低电平复位,存储寄存器有一个并行8位的,具备三态的总线输出,当使能OE时(为低电平),存储寄存器的数据输出到总线。其特点:8位串行输入/8位串行或并行输出存储状态寄存器,三种状态; 输出寄存器可以直接清除100MHz的移位频率。输出能力:并行输出,总线驱动;串行输出;标准中等规模集成电路。74LS595的管脚图及其说明如图四。

图三74LS154管脚图对3个输入信号进行译码。得到8个输出状态。

G1,G2A,G2B,为数据允许输出端,G2A,G2B低电平有效。G1高电平有效。A,B,C为译码信号输出端,Y0~Y7为译码输出端,低电平有效

管脚及其说明:

QA--QH: 八位并行输出端。

QH': 级联输出端。SI: 串行数据输入端。

74595的控制端说明:

/SCLR(10脚): 低点平时将移位寄存器的数据清

零。

SCK(11脚):上升沿时数据寄存器的数据移位。

QA-->QB-->QC-->...-->QH;下降沿移位寄存器数

据不变。(脉冲宽度:5V时,大于几十纳秒就行了)

RCK(12脚):上升沿时移位寄存器的数据进入数据

存储寄存器,下降沿时存储寄存器数据不变。(5V

时,大于几十纳秒就行了),更新显示数据。

/G(13脚): 高电平时禁止输出(高阻态)。如果单

片机的引脚不紧张,用一个引脚控制它,可以方

便地产生闪烁和熄灭效果。比通过数据端移位控

制要省时省力。

图四: 74LS595管脚图

图五点阵及其驱动

2.4总体设计方案

方案一:本设计所使用的16×16的点阵,EDA实验箱上有其接口电路,列选信号为SEL0,SEL1,SEL2,SEL3,经4线16线译码器输出16列,从左起为第一列,列选信号是由一个4位向量SEL[3..0]控制;行选信号为H0~H15,是由16个行信号组成的,每一行由一个单独的位来控制,高电平有效。例如“0000”表示第0列,“0000000000000001”表示第一行的点亮。由于列是由一个向量决定,而每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就决定了只能用逐列扫描的方法。例如要使第一列的2,4,6,8,行亮,则列为“0001”、行为“0000000010101010”就可以实现了。

方案二:VHDL程序设计的是硬件,他和编程语言的最大区别是它可以“并发执行”。本设计可以将LED显示屏要的显示内容抽象成一个二维数组(数组中的‘1’对映点阵显示屏上面的亮点),用VHDL语言设计一个进程将这个数组动态显示在LED显示屏上,再利用另一个进程对这个数组按一定频率进行数据更新,更新的方式可以有多种。因为两个进程是同时进行的(并发执行),如果对数组中的汉字数据按滚动的方式更新,则可实现汉字的滚动显示。如图2-1为该方案原理图。

图六方案二原理图

2.5 LED的显示原理

16×16扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯,所以其扫描译码地址需4位信号线(SEL0-SEL3),其汉字扫描码由16位段地址(0-15)输入。通过时钟的每列扫描显示完整汉字。

更新数组二维动态

图七LED灯红绿信号图八16×16点阵LED等效电路

点阵LED一般采用扫描式显示,实际运用分为三种方式:

(1)点扫描

(2)行扫描

(3)列扫描

若使用第一种方式,其扫描频率必须大于16×64=1024Hz,周期小于1ms即可。若使用第二和第三种方式,则频率必须大于16×8=128Hz,周期小于7.8ms即可符合视觉暂留要求。此外一次驱动一列或一行(8颗LED)时需外加驱动电路提高电流,否则LED 亮度会不足。

第三章软件设计

3.1汉字的存储

用动态分时扫描技术使LED点阵模块显示图像,需要进行两步工作。第一步是获得数据并保存,即在存贮器中建立汉字数据库。第二步是在扫描模块的控制下,配合行扫描

的次序正确地输出这些数据。获得图像数据的步骤是,先将要显示的每一幅图像画在一个如图3.3所示的被分成16×16共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,再将此分布关系以32×16的数据结构组成64个字节的数据,并保存在只读存贮器ROM中。以这种方式将若干个汉字的数据贮存在存贮器内,就完成了图像数据库的建立工作。

图2-4 16×16LED点阵模块

3.2 汉字显示

汉字显示使用的是16×16的点阵,EDA实验箱上有其接口电路,列选信号为SEL0,SEL1,SEL2,SEL3,经4线16线译码器输出16列,从左起为第一列,列选信号是由一个4位向量SEL[3..0]控制;行选信号为H0~H15,是由16个行信号组成的,每一行由一个单独的位来控制,高电平有效。例如“0000”表示第0列,“0000000000000001”表示第一行的点亮。由于列是由一个向量决定,而每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就决定了只能用逐列扫描的方法。例如要使第一列的2,4,6,8,行亮,则列为“0001”、行为“0000000010101010”就可以实现了。

下面是各个部分的程序设计:

3.2.1列循环扫描

列循环扫描

通过对每一列的扫描来完成对字母的现实,只要扫描的频率足够快,就能给人以连续的感觉。因此要控制扫描的频率,不能太低,否则,就会造成视觉上的不连续,本设计的扫描频率不得低于50Hz,扫描程序如下:

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

Entity dz_xs is

Port(enable,clk:in std_logic; --端口定义输入信号

Sel:out std_logic_vector(3 downto 0)); --端口定义输出信号

End dz_xs;

Architecture count of dz_xs is

Signal lie:std_logic_vector(3 downto 0);

Begin

Process(clk,enable)--脉冲、使能信号

begin

If clk'event and clk='1'then

If enable='1' then

If lie>"0000" then

Lie<=lie-"0001";

Else

Lie<="1111";

End if;

End if;

End if;

Sel<=lie;

End process;

3.2.2字符样式设计

字符样式设计

本环节是建立一个数据库,使之能在扫描的同时读取所需要的信息,从而完成汉字的显示。本次设计“王、日、田、口”汉字样式设计程序如下:

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

Entity dz_xs is

Port(enable,clk:in std_logic;--端口定义输入信号h0,h8:out std_logic_vector(7 downto 0));--端口定义输出信号(h0-列数据输出,h8-代表行数据输出)

End dz_xs;

Architecture count of dz_xs is

Signal lie:std_logic_vector(3 downto 0);--信号标志

Signal past1:std_logic_vector(1 downto 0);

Begin

Process(enable,clk)--脉冲、使能信号

Begin

If clk'event and clk='1'then

If enable='1' then

Case lie is

When "00"=>

Case lie is --“王”字设计

When "0011"=>h0<="00010001";h8<="00010000"; When "0100"=>h0<="00010001";h8<="00010000"; When "0101"=>h0<="00010001";h8<="00010000"; When "0110"=>h0<="00010001";h8<="00010000"; When "0111"=>h0<="00010001";h8<="00010000"; When "1000"=>h0<="00011111";h8<="11110000"; When "1001"=>h0<="00010001";h8<="00010000"; When "1010"=>h0<="00010001";h8<="00010000"; When "1011"=>h0<="00010001";h8<="00010000"; When "1100"=>h0<="00010001";h8<="00010000"; When "1101"=>h0<="00010001";h8<="00010000"; When others=>h0<="00000000";h8<="00000000"; End case;

When "01"=>

Case lie is --“日”字设计

When"0101"=>h0<="00011111";h8<="11110000"; When"0110"=>h0<="00010001";h8<="00010000"; When"0111"=>h0<="00010001";h8<="00010000"; When"1000"=>h0<="00010001";h8<="00010000"; When"1001"=>h0<="00010001";h8<="00010000"; When"1010"=>h0<="00010001";h8<="00010000"; When"1011"=>h0<="00011111";h8<="11110000"; When others=>h0<="00000000";h8<="00000000"; End case;

When "10"=>

Case lie is --“田”字设计

When"0100"=>h0<="00011111";h8<="11110000"; When"0101"=>h0<="00010001";h8<="00010000"; When"0110"=>h0<="00010001";h8<="00010000"; When"0111"=>h0<="00010001";h8<="00010000"; When"1000"=>h0<="00011111";h8<="11110000"; When"1001"=>h0<="00010001";h8<="00010000"; When"1010"=>h0<="00010001";h8<="00010000"; When"1011"=>h0<="00010001";h8<="00010000"; When"1100"=>h0<="00011111";h8<="11110000"; When others=>h0<="00000000";h8<="00000000";

End case;

When "11"=>

Case lie is --“口”字设计

When"0101"=>h0<="00011111";h8<="11100000";

When"0110"=>h0<="00010000";h8<="00100000";

When"0111"=>h0<="00010000";h8<="00100000";

When"1000"=>h0<="00010000";h8<="00100000";

When"1001"=>h0<="00010000";h8<="00100000";

When"1010"=>h0<="00010000";h8<="00100000";

When"1011"=>h0<="00011111";h8<="11100000";

When others=>h0<="00000000";h8<="00000000";

End case;

When others=>h0<="00000000";h8<="00000000";

End case;

End if;

End if;

End process;

3.2.3字母循环扫描及期间的延时环节

为使字母不断地循环显示,并且使每个字母之间有停顿,就需要在中间加一定的延时和循环环节。在这一环节中,可以通过修改其数值来控制每个字母的显示时间。

其程序如下:

process(clk)

variable int: integer range 0 to 10000;

begin

if clk’event and clk=’1’then

if int<10000 then

int:=int+1;

else

int:=0;

if next1=”11”then

next1<=”00”;

else

next1<= next1+’1’;

end if;

end if;

end if;

end process;

3.3 整个完整的程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity yz_ok is

port(enable,clk:in std_logic;

sel:out std_logic_vector(3 downto 0);

h0,h8:out std_logic_vector(7 downto 0)); end yz_ok;

architecture count of yz_ok is

signal lie:std_logic_vector(3 downto 0);

signal next1: std_logic_vector(1 downto 0);

begin

a1:process(clk,enable)

begin

If clk'event and clk='1'then

If enable='1' then

If lie>"0000" then

Lie<=lie-"0001";

Else

Lie<="1111";

End if;

End if;

End if;

Sel<=lie;

End process;

a2:process(enable,clk)

begin

If clk'event and clk='1'then

If enable='1' then

Case next1 is

When "00"=>

Case lie is

When "0011"=>h0<="00010001";h8<="00010000";

When "0100"=>h0<="00010001";h8<="00010000";

When "0101"=>h0<="00010001";h8<="00010000";

When "0110"=>h0<="00010001";h8<="00010000";

When "0111"=>h0<="00010001";h8<="00010000";

When "1000"=>h0<="00011111";h8<="11110000";

When "1001"=>h0<="00010001";h8<="00010000";

When "1010"=>h0<="00010001";h8<="00010000";

When "1011"=>h0<="00010001";h8<="00010000";

When "1100"=>h0<="00010001";h8<="00010000";

When "1101"=>h0<="00010001";h8<="00010000";

When others=>h0<="00000000";h8<="00000000";

End case;

When "01"=>

Case lie is

When"0101"=>h0<="00011111";h8<="11110000"; When"0110"=>h0<="00010001";h8<="00010000"; When"0111"=>h0<="00010001";h8<="00010000"; When"1000"=>h0<="00010001";h8<="00010000"; When"1001"=>h0<="00010001";h8<="00010000"; When"1010"=>h0<="00010001";h8<="00010000"; When"1011"=>h0<="00011111";h8<="11110000"; When others=>h0<="00000000";h8<="00000000"; End case;

When "10"=>

Case lie is

When"0100"=>h0<="00011111";h8<="11110000"; When"0101"=>h0<="00010001";h8<="00010000"; When"0110"=>h0<="00010001";h8<="00010000"; When"0111"=>h0<="00010001";h8<="00010000"; When"1000"=>h0<="00011111";h8<="11110000"; When"1001"=>h0<="00010001";h8<="00010000"; When"1010"=>h0<="00010001";h8<="00010000"; When"1011"=>h0<="00010001";h8<="00010000"; When"1100"=>h0<="00011111";h8<="11110000"; When others=>h0<="00000000";h8<="00000000";

End case;

When "11"=>

Case lie is

When"0101"=>h0<="00011111";h8<="11100000"; When"0110"=>h0<="00010000";h8<="00100000"; When"0111"=>h0<="00010000";h8<="00100000"; When"1000"=>h0<="00010000";h8<="00100000"; When"1001"=>h0<="00010000";h8<="00100000"; When"1010"=>h0<="00010000";h8<="00100000"; When"1011"=>h0<="00011111";h8<="11100000"; When others=>h0<="00000000";h8<="00000000";

End case;

When others=>h0<="00000000";h8<="00000000";

End case;

End if;

End if;

End process;

a3:process(clk)

variable int: integer range 0 to 10000;

begin

if clk’event and clk=’1’then

if int<10000 then

int:=int+1;

else

int:=0;

if next1=”11”then

next1<=”00”;

else

next1<= next1+’1’;

end if;

end if;

end if;

end process;

end count;

第四章全文总结

本文设计的6x16的点阵LED图文显示屏,能够在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示的图形和文字较稳定、清晰。图形或文字向上滚动显示。本系统具有硬件少,结构简单,容易实现,性能稳定可靠,成本低等特点。

在此次设计中,我们得到以下体会:

一、在这次点阵设计的过程让我进一步熟悉KEIL及Proteus的使用。

二、本次设计结果仍有缺陷,有一行总是全亮,干扰显示。

三、通过这次点阵设计,重新复习并进一步学习了MCS-52优点,明确了研究目标。

四、本文设计的LED显示屏能够实现在目测条件下LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形和文字应稳定、清晰无串扰。图形或文字以移入移出方式显示。

参考文献

[1] 刘欣铭,张广斌.LED显示屏技术综述[J].黑龙江电力,2003, 25(4):294-296.

[2] 阳进.基于单片机的LED显示屏的汉字显示[J].中国科技信息,2005,(12):112.

[3] Mark Nelson著.潇湘工作室译.串行通信开发指南[M].中国水利水电出版社,2002.

[4] 王宜怀.单片机原理及其嵌入式应用教程[M].北京希望电子出版社,2002.

[5] 韩润萍,陈小萍.点阵LED显示屏控制系统[J].微计算机信息,2003,19(10):50-51.

单片机应用概述

第1讲单片机应用概述 教学目的: 1、初步了解单片机的发展历史, 基础知识以及应用范围; 2、通过演示单片机产品的实物来激发学生的学习兴趣; 3、了解单片机的发展方向和主流技术。 重点、难点: 1、单片机的概念和特点; 2、单片机的主要发展方向和主流技术; 3、几种常见的单片机产品。 教学方式、步骤: 一、课程介绍、学习的目标、学习本课程的方法 1、课程介绍 单片机是当今信息时代的产物,自20世纪70年代问世以来,以实时控制能力强,成本低,体积小,受到人们的重视和关注,应用很广,发展很快。尤其在电子产品、工业控制等领域的应用广泛,已对人类社会产生了巨大的影响。单片机技术开发和应用水平已成为衡量一个国家工业化发展水平的标志之一。 由于单片机的广泛使用使得社会对掌握单片机技术的人才的需求在不断增加,目前全国普通工科大学均已经将单片机课列为必修的专业(基础)课程。 2、学习的目标 通过对孝感周边相关电子企业(亚光电子公司、○六六集团、四四○四厂等)的毕业生跟踪调查和人才需求调研,相关工作岗位都对单片机应用能力都提出了一定的要求。且不同的岗位对单片机应用能力要求的高低不同。要求较高的岗位如电路联调岗和电子线路设计助理工程师岗,对单片机的应用能力要求如下: 掌握常见单片机芯片及外围芯片的功能和引脚分布; 理解掌握单片机系统结构、存储器结构、指令系统,中断、定时器、串行口、接口技术等重要概念和基本知识; 具备一定的电子线路基本知识,能看懂典型单片机外围硬件的原理图,并具备相应的硬件线路调试的基本技能; 能看懂程序流程图,掌握程序调试的基本技能; 具有基本的单片机编程能力; 掌握单片机软硬件联调的基本技能; 掌握单片机产品开发的基本流程和工艺。 课程标准: 作为一门核心的专业基础课程,本课程的专业目标定位为:通过基于实际工作过程(项目制作)的项目导向、任务驱动的理论实践一体化教学模式,教、做、学三者合一,使学生在做中学,学中做,在理解掌握单片机系统结构、存储器结构、指令系统,中断、定时器、串行口、接口技术和单片机初步应用知识的基础上,掌握智能电子应用相关岗位所需要的单片机应用系统的初步的应用分析和软硬件设计能力,掌握基本的编程和程序调试能力,掌握单片机典型外围硬件线路的分析与初步设计能力、硬件调试能力,掌握单片机系统的安装和软硬件联调、故障诊断维护技能,掌握单片机产品开发的基本流程和工艺。在以上述单片机应用能力培养为主线的教学过程中,还要注重学生职业能力的培养,使学生毕业后能够直接适应单片机相关岗位的工作。

单片机应用技术试卷A及答案

《单片机应用技术(C语言版)》试卷A一、单项选择题(每题1.5分,共30分) 1、51单片机的CPU主要由()组成。 A、运算器、控制器 B、加法器、寄存器 C、运算器、加法器 D、运算器、译码器 2、程序是以()形式存放在程序存储器中。 A、C语言源程序 B、汇编程序 C、二进制编码 D、BCD码 ——引脚()3、单片机8031的EA。 A、必须接地 B、必须接+5V电源 C、可悬空 D、以上三种视需要而定 4、单片机上电复位后,PC的内容为()。 A、0x0000 B、0x0003 C、0x000B D、0x0800 5、外部扩展存储器时,分时复用做数据线和低8位地址线的是() A、P0口 B、P1口 C、P2口 D、P3口 6、单片机的ALE引脚是以晶振振荡频率的()固定频率输出正脉冲,因此它可作为外部时钟或外部定时脉冲使用。 A、1/2 B、1/4 C、1/6 D、1/12 7、下面叙述不正确的是()。 A、一个C源程序可以由一个或多个函数组成。 B、一个C源程序必须包含一个主函数main( )。 C、在C程序中,注释说明只能位于一条语句的后面。 程序的基本组成部分单位是函数。C、D 8、在C语言中,当do-while语句中的条件为()时,循环结束。 A、0 B、false C、true D、非0 9、下面的while循环执行了()次空语句。 While(i=3); A、无限次 B、0次 C、1次 D、2次 10、C程序总是从()开始执行的。 A、主函数 B、主程序 C、子程序 D、主过程 11、在C51程序中常常把()作为循环体,用于消耗CPU运行时间,产生延时效果。 A、赋值语句 B、表达式语句 C、循环语句 D、空语句 12、在单片机应用系统中,LED数码管显示电路通常有()显示方式。 A、静态 B、动态 C、静态和动态 D、查询 13、共阳极LED数码管加反相器驱动时显示字符“6”的段码是() A、0x06 B、0x7D C、0x82 D、0xFA 14、51单片机的定时器T1用做定时方式时,采用工作方式1,则工作方式控制字为() A、0x01 B、0x05 C、010 D、0x50 15、启动T0开始计数是使TCON的()。

单片机应用技术课程整体教学教案

《单片机应用技术》整体教学设计 (2015~2016学年第2学期) 课程名称:单片机应用技术 所属系部:信息与智能工程系 制定人:郭志勇巩雪洁 合作人:自成留忠 制定时间:2016.1.16

电子信息职业技术学院

一、课程基本信息 一、课程定位 本课程主要是以智能控制、智能电子产品、智能机器人工程项目为主线,采用企业真实工作任务,通过“项目驱动”教学模式,对国外广泛应用的MCS-51系列单片机的AT89S52单片机工作原理、应用系统的剖析,使学生获得有关单 片机硬件、软件的基本概念、基本知识和单片机应用系统的设计编程入门知识以及用C 语言进行程序设计、运行、调试等基本技能,培养学生分析问题和解决问题的能力。 1. 岗位分析: 本课程主要培养具有智能电子产品和智能控制设计、分析、调试和制作能力的技术技能型人才,可以从事智能电子产品和智能控制设计开发、生产、销售与服务等相关工作,如图1所示。 图1 课程与岗位

2. 课程分析: 本课程是计算机控制技术、物联网应用技术等相关专业的职业能力必修课程,是计算机控制技术专业核心课程。在计算机控制技术专业课程体系中,基于单片机应用技术的课程结构如图2所示。 图2 基于单片机应用技术的课程结构 位于最底层的是本课程的先修课程,也是专业基础课程;本课程是专业核心课程;位于最上层的是本课程的后续课程,既专业课程。基于单片机应用技术的课程结构实现了无缝对接,同时也是我们学校其它相关专业的骨干课程,如嵌入式技术、电子信息工程技术、机电一体化、电气自动化、数控技术等专业都开设本课程。 二、课程目标设计 总体目标: 学通过本课程的学习,学生能熟练使用Proteus仿真软件、C语言编程,能完成简单的智能电子产品和智能控制设计开发;能根据智能电子产品和智能控制设计要求进行元器件焊接组装、软硬件调试;培养学生具有一定的创新思维能力,科学的工作方法和良好的职业道德意识,为提高学生职业技能奠定良好基础。 能力目标: (1)会运用keil集成开发环境,能用C语言编写控制程序、下载调试、查

信息技术说课稿(万能)

《日新月异的信息技术》说课稿 各位评委,各位老师,大家好!我是来自邢台二中的教师,王浩。今天我说课的题目是《日新月异的信息技术》,主要从说教材、说教法、说学法、说教学过程四个方面进行。 一、说教材 1、本节课地位和作用 本节课是《信息技术基础》必修模块中第一章《信息与信息技术》的内容,属于信息素养中对于信息技术熟悉与了解的范畴。作为全书的第一章,本节内容相对独立,目的是使学生对信息和信息技术有个宏观把握,并形成整体认识。由于本章没有太多难点,内容容易理解,所以重在培养学生对信息技术课程的兴趣,为学习后续的章节做铺垫。 2、教学目标 知识与技能:要求学生能举例说明信息的一般特性,了解信息技术的发展历史,联系实际领会信息技术人性化和大众化的发展趋势,体验信息技术的变化,认识到信息技术与人类生活休戚相关,并需要我们正确对待,健康使用。从而达到培养学生处理信息的能力。 过程与方法:懂得信息一般特性——了解信息的发展历史——观看视频展望信息技术的发展——分组讨论等一系列环节;懂得多角度去分析和思考问题,并结合观看视频科学地了解信息技术的发展,理性的认识信息技术。 情感态度与价值观:通过观看信息技术展望的视频,激发学生对信息技术课程的兴趣和对信息技术发展的想象力;培养学生正确认识信息发展的价值观; 3、重点 重点:对于本节的内容来说,并无较难理解的部分,所以重点是要学生了解信息技术,以及信息技术历史和未来的发展趋势。正确的认识信息技术,树立良好的结构观念。 二、说教法 对于信息技术教学,我注意更新教学观念和学生的学习方式,化学生被动学习为主动愉快学习。为了更好地突出本节课重点、难点,我采用的教学方法是:参与式教学、提问引导法 三、说过程 本节课以信息技术的发展为主线,以图片介绍的方法对信息技术的历史进行简要的回顾。用视频观看的方法对信息技术未来的发展趋势做展望。以任务驱

单片机技术应用课程标准

《单片机技术与应用》课程标准课程名称:单片机技术与应用 适用专业:通信技术 开设学期:第2学年第1学期 学时: 48 学分: 3 (一)课程性质与作用 随着电子设备智能化的不断发展和日益普及,单片机作为电子设备智能的核心其应用日益广泛,在包括各种通信设备在内的电子应用领域中随处可见单片机的应用。因此,高职院校信息电子类专业大都开设了单片机应用的相关课程。我院通信技术专业作为一个信息电子类专业,特开设《单片机技术与应用》课程,作为一门专业选修课程,以培养学生单片机应用相关的技能,并为后继通信设备相关课程的学习打下基础,同时有效拓宽学生的就业方向。 《单片机技术与应用》课程主要讲述单片机及其应用技术,该门课程的主要作用在于通过单片机相关知识的讲解和单片机应用系统开发过程的训练,使学生具备单片机使用方面的基本知识和技能,以为后继的通信专业设备课程的学习打下一定的基础。同时本课程也和本专业的《电路分析与制作》、《通信电子技术与实践》共同构成电子相关技能培养的课程体系,为学生毕业后到电子相关岗位就业打下较好的基础,以拓宽学生的就业方向。 (二)课程设计思路 本课程标准的总体设计思路是:根据高职学生的学习特点,并结合本课程的性质及教学内容,以及我国高职教育的发展方向,力求课程的教学在本课程标准的指导下能够最大限度地激发学生的学习兴趣、调动学生的学习主动性和积极性,以更好地达到本课程的教学目的和要求。为此,引导本课程的教学由传统的学科式知识组织方式转换为基于工作过程的任务引领式知识组织方式,以“交通信号灯控制器设计”这一单片机实际应用系统作为教学项目,以该项目的实现过程为主线串起相应的知识要点讲解和技能训练,并将该项目的实现过程分解成由简到繁的多个工作任务,紧紧围绕完成工作任务的需要来选择课程内容;同时变知识学科本位为职业能力本位,从“任务与职业能力”分析出发,为每一个工作任务设定职业能力培养目标;变书本知识的传授为动手能力的培养,打破传统的知识传授方式,以工作

信息技术与学科整合说课稿

信息技术与学科整合说课稿 作者:李秀荣 来源:《新课程学习·上》2014年第10期 尊敬的各位评委、老师,大家好! 今天我以四年级下册《保卫黄河》一课为例,来阐述一下教学实施过程及多媒体信息技术在课堂中的有效应用。 一、教材及学情分析 《保卫黄河》这首歌曲曲调坚定、高昂,充满了正气,具有鲜明的民族风格和时代气息。歌词抒发了抗日军民同仇敌忾、英勇战斗、誓死保卫祖国的民族精神和豪情壮志。 二、教学过程中体现的整合点 根据以上内容分析,在教学过程中我确立了如下整合点: 1.创设情境,歌曲导入 新课伊始,我为学生播放气势磅礴的抗日歌曲《保卫黄河》,抓住学生心理,将学生带到抗战情境中,激起学生强烈的学习兴趣。 2.品词析句,理解感悟 为了突出重点,让学生在诵读中体会抗日军民高昂的斗志,我在品词析句上做了详细的斟酌。我设计了4张文字幻灯片(略)。 这部分内容就是要通过抓住重点词句,让学生认真品读,在读中体味文字背后蕴含的情感。 为帮助学生理解诗句中咆哮一词的含义,加强课文朗读,我在引领学生学习前四句诗时,适时播放了黄河的视频,让学生清晰地看到黄河那黄浪滔天、翻腾滚沸的壮观景象,进而理解咆哮的含义,体会出用激昂的语调读出黄河咆哮的宏大气势。 3.品读感悟,情境交融 为了突破难点,让学生知道中国人民为什么要起来反抗,我从电影《南京!南京!》中截取了一段视频(播放并解说:这是反映日军在南京犯下滔天罪行的画面……),学生带着对敌人的无比仇恨和抗战必胜的决心读好诗句:“风在吼,马在叫,黄河在咆哮,黄河在咆哮!”

4.回放歌曲,升华情感 为了使学生的感情得到进一步的升华,总结课文后,我再次为学生播放这首歌曲(播放),此时学生已激情澎湃,他们会主动地伴着振奋人心的旋律、和着铿锵有力的节奏共同唱响抗日的最强音,同抗日英雄一起来保卫家乡!保卫黄河!保卫华北!保卫全中国! 三、教学效果 回顾整个教学过程,信息技术的运用优化了课堂结构,增加了课堂容量,创设了课堂情境,渲染了课堂气氛,使信息技术强大的交互能力得到了良好的体现。 参考文献: 叶力汉,白然.信息技术与课程整合实践中的问题及对策[J]. 中国电化教育,2005(11). 编辑赵飞飞

单片机应用技术课后习题参考答案

1 习题1答案 1.2 填空题 (1)单片级应用系统是由硬件系统、软件系统组成的 (2)除了单片机和电源外,单片机最小系统包括时钟电路、复位电路 (3)除了电源和电线引脚外,XTAL1、XTAL2、RST、EA引脚信号必须连接相应电 路 (4)51系列单片机的存储器主要有4个物理存储空间,即片内数据存储器、片内程序存储器、片外数据存储器、片外程序存储器 (5)51系列单片机的XTAL1和XTAL2引脚是时钟电路 (6)51系列单片机的应用程序一般存放在程序存储器 (7)片内RAM低128单元,按其用途划分为工作寄存器组、位寻址区、用户RAM区(8)但振荡脉冲频率为12MHz时,一个机器周期为1us,当振荡脉冲频率为6MHz时,一个机器周期为2us (9)51系列单片机的复位电路有两种,即上电复位电路、按键复位电路 (10)输入单片机的复位信号需延续2个机器周期以上的高电平即为有效。 1.3 (4)什么是机器周期?机器周期和晶振频率有何关系?当晶振频率为6MHz时,机器周期是多少? 答: 规定一个机器周期的宽度为12个振荡脉冲周期,因此机器周期就是振荡脉冲的十二分频。 当振荡脉冲频率为6 MHz时,一个机器周期为2 μs。 (5)51系列单片机常用的复位方法有哪几种?画电路图并说明其工作原理。 答: (a)上电复位电路(b)按键复位电路 单片机常见的复位电路 图(a)为上电复位电路,它是利用电容充电来实现的。在接电瞬间,RST端的电位

与VCC相同,随着充电电流的减少,RST的电位逐渐下降。只要保证RST为高电平的时间大于两个机器周期,便能正常复位。 图(b)为按键复位电路。该电路除具有上电复位功能外,若要复位,只需按图(b)中的RESET键,此时电源VCC经电阻R1、R2分压,在RST端产生一个复位高电平。 习题3 答案 3.2 填空题 (2)用C51编程访问51单片机的并行I/O口是,可以按字节,寻址操作,还可以按位操作(4)C51中定义一个可位寻址变量FLAG访问P3口的P3.1引脚的方法是s bit FLAG=P3^1; (10)下面的while循环执行了无限次空语句。 i=3; While(i!=0); (15)在以下的数组定义中,关键字“code”是为了把tab数组存储在程序存储器ROM中 Unsigned char code b[]={}; 3.3 上机操作题 (1) //xiti3_3_1.c——第三章习题3.3上机操作题(1) #include void delay(unsigned char i); //延时函数声明 void main() //主函数 { while(1){ P1=0x55; //按状态1的方式点亮8个LED delay(200); //延时 P1=0xaa; //按状态2的方式点亮8个LED delay(200); //延时 } } void delay(unsigned char i) //延时函数参见任务1程序ex1_1.c (2) //xiti3_3_2.c——第三章习题3.3上机操作题(2) #include //包含头文件REG51.H void delay(unsigned char i); //延时函数声明 void main() //主函数 { unsigned char i,l,r; while(1){ l=0x10; // 高4位灯的位置初值为00010000B r=0x08; // 低4位灯的位置初值为00001000B

信息技术万能说课稿

信息技术万能说课稿 信息技术万能说课稿一 尊敬的各位评委大家好! 我今天说课的题目是《信息资源管理概述》。 下面我将本着新课标中"从问题解决出发,让学生亲历过程,鼓励创 新"的原则,从教材分析,学情分析,教学目标,教学重难点,教学方法, 教学过程,板书设计和教学反思这几个方面展开说课,请各位专家多多指 导。 一教材分析 普通高中信息技术新课标中规定,《信息技术基础》是高中信息技术 课程的必修模块,是高中学生信息素养提升的基础,也是学习各选修模块 的前提,具有普遍价值。 《信息资源管理概述》是教育科学出版社出版的普通高中课程标准实 验教科书《信息技术基础》必修第七章第一节的内容。 本节内容对人类信息资源管理的概述,主要介绍了信息资源的分类和 信息资源管理的标准化思想,旨在使学习者在宏观上对信息资源的管理有 一定的认识,为后继的学习提供支持,因此本节课属于本章的基础内容, 在整个章节中处于比较重要的地位。 二学情分析

本课的授课对象为高一已经接触过信息技术教学一段时间的学生,对 于《信息技术基础》已经有了一定的了解,但是对于信息资源的管理的理 论基础没有系统的了解过,所以总得来说,学习者的信息资源管理的意识 不强,对于日常生活中所涉及到的信息资源管理没有一个全面的认识。 缺乏信息资源管理的专业知识与技能。 因此,在教学过程中,通过小组调查小组讨论的方式,给同学们设置 任务,让学生在完成任务的过程中将教材的理论知识内化为自身的信息意 识。 三教学目标 根据信息技术课程标准的要求,结合本学科的特点及授课学生的情况, 制定了以下三个维度的目标 1 知识与技能 1 体验信息资源管理的一般过程,理解信息资源管理活动的普遍性及 其重要意义 2 依据一定的标准对信息进行分类,了解信息资源管理的标准化思想 2 过程与方法 通过学生对日常生活和学习的认真观察,发现和归纳利用信息资源管 理的事例,尝试总结信息资源的分类方法,介绍信息资源管理的标准化思 想的作用。 3 情感态度与价值观 体验自主探究的乐趣,激发和保持对信息技术的求知欲,养成积极主 动学习的习惯,逐步形成自己的信息素养。

单片机及其应用的文献综述

单片机及其应用的文献综述 摘要:单片机由于其特点和突出的性能被广泛应用于各个领域,随着社会的发展和技术的进步,各种新型单片机层出不穷,片内集成的功能模块越来越多,整体性能也越来越强大。本文主要介绍了单片机的种类、特点、主要的生产厂家和应用领域等,概述介绍了单片机应用技术的进展和动向。 关键词:单片机;分类;应用;发展 引言 单片微型计算机(Single Chip Microcomputer),又称微控制器(Micro controller Unit)或嵌入式控制器(Embedded Controller)。通常是将组成计算机的基本部件微型化并集成到一块芯片上而形成的微型计算机,其片内常含有CPU、ROM、RAM、并行I/O、串行I/O、定时器/计数器、中断控制、系统时钟及系统总线等。据统计,目前全世界单片机(嵌入式处理器)的品种总量已经超过1000多种,流行体系结构约30个系列,其中8051 体系仍占有半壁江山。 1.分类 依据分类方法的不同,单片机可以分为不同的类型。根据数据总线的宽度分类,可分为4位、8位、16位、32位机等,如下表1所示: 表1 根据位宽分类 分类名称简介应用场所 4位单片机功能单一性能较低。如OKI公司的 MSM64164C、MSM64481,NEC 公司的75006X 系列,EPSON公司的SMC62系列等。 输入装置(鼠标、游戏杆)、电池充电器、 带液晶显示的音、视频产品控制器、家 用电器的控制及遥控器、玩具控制、记 时器、时钟、表、计算器、多功能电话、 LCD 游戏机。 8位单片机功能强大,品种最为丰富、应用最为广泛。 MCS-51 系列及其兼容机型:ATMEL、PHILIPS、 WINBOND 是MCS-51 单片机生产的老牌厂 家,ST 新推出的μPSD 系列等; MOTOROLA68HC05/08 系列、MICROCHIP 的 PIC 单片机以及ATMEL 的AVR 单片机。 自动化装置、智能仪器仪表、过程控制、 通信、家用电器 16位单片机十六位单片机操作速度及数据吞吐能力在性 能上比8 位机有较大提高。 主要应用于工业控制、智能仪器仪表、 便携式设备等场合。 32位单片机高性能和低功耗。以更低的时钟频率、非常低 的功耗,达到很强的运算处理能力。 DVD、VCD、数码相机(DSC)、数字式电 视机DTV、导航系统、便携式信息终端、 空调机、洗衣机、电冰箱、打印机等 根据程序存储方式的不同分类,单片机可分为MASKROM类、EPROM类、OTPROM类(一次可编程)、ROM less类、Flash ROM(MTPROM)类五种,如下表2所示: 表2 根据程序存储方式分类 分类名称特点 MASKROM 类程序在芯片封装过程中用掩膜工艺制作到ROM区中,如80C51,适合于大批量生产 EPROM 类紫外线可擦写存储器类,如87C51(价格较贵) ROMless类无ROM存储器,如80C31,电路扩展复杂,现在较少用 OTPROM类可一次性写入程序 Flash ROM 可多次编程写入存储器,如芯片89C51、89S51 等,其成本低,开发调试方便,可

《单片机应用技术》试卷B及答案

《单片机应用技术(C 语言版)》试卷B 一、 单项选择题(每题1.5分,共30分) 1、51单片机的CPU 主要由( )组成。 A 、运算器、控制器 B 、加法器、寄存器 C 、运算器、加法器 D 、运算器、译码器 2、PSW 中的RS1和RS0用来( ) 。 A 、选择工作方式 B 、指示复位 C 、选择定时器 D 、选择工作寄存器组 3、单片机8031的EA —— 引脚( )。 A 、必须接地 B 、必须接+5V 电源 C 、可悬空 D 、以上三种视需要而定 4、单片机上电复位后,PC 的内容为( )。 A 、0x0000 B 、0x0003 C 、0x000B D 、0x0800 5、单片机的4个并行I/O 端口作为通用I/O 端口使用,在输出数据时,必须外接上拉电阻的是( ) A 、P0口 B 、P1口 C 、P2口 D 、P3口 6、单片机的ALE 引脚是以晶振振荡频率的( )固定频率输出正脉冲,因此它可作为外部时钟或外部定时脉冲使用。 A 、1/2 B 、1/4 C 、1/6 D 、1/12 7、下面叙述不正确的是( )。 A 、一个C 源程序可以由一个或多个函数组成。 B 、一个 C 源程序必须包含一个主函数main( )。 C 、在C 程序中,注释说明只能位于一条语句的后面。 D 、C 程序的基本组成部分单位是函数。 8、在C51语言的if 语句中,用做判断的表达式为( )。 A 、关系表达式 B 、逻辑表达式 C 、算术表达式 D 、任意表达式

9、下面的while循环执行了()次空语句。 While(i=3); A、无限次 B、0次 C、1次 D、2次 10、C程序总是从()开始执行的。 A、主函数 B、主程序 C、子程序 D、主过程 11、在C51程序中常常把()作为循环体,用于消耗CPU运行时间,产生延时效果。 A、赋值语句 B、表达式语句 C、循环语句 D、空语句 12、在单片机应用系统中,LED数码管显示电路通常有()显示方式。 A、静态 B、动态 C、静态和动态 D、查询 13、在共阳极数码管使用中,若要仅显示小数点,则其相应的字段码是()。 A、0x80 B、0x10 C、0x40 D、0x7F 14、51单片机的定时器T1用做定时方式时,采用工作方式1,则工作方式控制字为() A、0x01 B、0x05 C、0x10 D、0x50 15、MCS-51系列单片机串行口发送/接收中断源的工作过程是:当串行口接收或发送完一帧数据时,将SCON中的(),向CPU申请中断。 A、RI或TI置1 B、RI或TI置0 C、RI置1或TI置0 D、RI置0或TI置1 16、在定时/计数器的计数初值计算中,若设最大计数值为M,对于工作方式1下的M值为()。 A、M=213 = 8192 B、M=28 = 256 C、M=24 = 16 D、M=216 = 65536 17、51单片机的串行口是()。 A、单工 B、全双工 C、半双工 D、并行口 18、表示串行数据传输速率的指标为()。 A、USART B、UART C、字符帧 D、波特率 19、串行口的控制寄存器为()。 A、SMOD B、SCON C、SBUF D、PCON 20、串行口的发送数据和接收数据端为()。 A、TXD和RXD B、TI和RI C、TB8和RB8 D、REN 二、填空题(每空1.5分,共30分)

单片机应用技术教案双语教学1Ch1HardwareSystemofSingleChipMicroco

●Keywords: 微型计算机(Microcomputer) PC(Personal Computer)机 单片微型计算机(Single Chip Microcomputer) 中央处理单元(CPU,Central Processing Unit) 输入输出(I/O,Input/Output) 随机存取存储器(RAM,Random Access Memory) 只读存储器ROM(Read-only Memory) 专用寄存器(Special Function Register) 程序计数器(PC,Program Counter) 累加器(ACC,Accumulator) 程序状态字(PSW,Program Status Word) 堆栈指针(SP,Stack Pointer) 时钟电路(Clock circuit) 复位电路(Reset circuit) 电压(Voltage) 地线(Ground) ●Introduction: The generic 8031 architecture sports a Harvard architecture, which contains two separate buses for both program and data. So, it has two distinctive memory spaces of 64K X 8 size for both program and data. It is based on an 8 bit central processing unit with an 8 bit Accumulator and another 8 bit B register as main processing blocks. Other portions of the architecture include few 8 bit and 16 bit registers and 8 bit memory locations. Each 8031 device has some amount of data RAM built in the device for internal processing. This area is used for stack operations and temporary storage of data. This base architecture is supported with onchip peripheral functions like I/O ports, timers/counters, versatile serial communication port. So it is clear that this 8031 architecture was designed to cater many real time embedded needs. The following list gives the features of the 8031 architecture: #Optimized 8 bit CPU for control applications. #Extensive Boolean processing capabilities. #64K Program Memory address space. #64K Data Memory address space. #128 bytes of onchip Data Memory. #32 Bi-directional and individually addressable I/O lines. #Two 16 bit timer/counters. #Full Duplex UART.

单片机原理及应用习题答案

思考与练习题1 1.1单项选择题 (1)单片机又称为单片微计算机,最初的英文缩写是( D ) A.MCP B.CPU C.DPJ D.SCM (2)Intel公司的MCS-51系列单片机是( C )的单片机。 A.1位 B.4位 C.8位 D.16位 (3)单片机的特点里没有包括在内的是( C ) A.集成度高 B.功耗低 C.密封性强 D.性价比高 (4)单片机的发展趋势中没有包括的是( B ) A.高性能 B.高价格 C.低功耗 D.高性价比 (5)十进制数56的二进制数是( A ) A.00111000B B.01011100B C.11000111B D.01010000B (6)十六进制数93的二进制数是( A ) A.10010011B B.00100011B C.11000011B D.01110011B (7)二进制数11000011的十六进制数是( B ) A. B3H B.C3H C.D3H D.E3H (8)二进制数11001011的十进制无符号数是( B ) A. 213 B.203 C.223 D.233 (9)二进制数11001011的十进制有符号数是( B ) A. 73 B.-75 C.-93 D.75 (10)十进制数29的8421BCD压缩码是( A ) A.00101001B B.10101001B C.11100001B D.10011100B (11)十进制数-36在8位微机中的反码和补码是( D ) A.00100100B、11011100B B.00100100B、11011011B C.10100100B、11011011B D.11011011B、11011100B (12)十进制数+27在8位微机中的反码和补码分别是( C ) A.00011011B、11100100B B.11100100B、11100101B C.00011011B、00011011B D.00011011B、11100101B (13)字符9的ASCII码是( D ) A.0011001B B.0101001B C.1001001B D.0111001B (14)ASCII码1111111B的对应字符是( C ) A. SPACE B.P C.DEL D.{ (15)或逻辑的表达式是( B ) A.A?B=F B. A+B=F C. A⊕B=F D.(A?B)=F (16)异或逻辑的表达式是( C ) A.A?B=F B. A+B=F C. A⊕B=F D.(A?B)=F (17)二进制数10101010B与00000000B的“与”、“或”和“异或”结果是( B ) A.10101010B、10101010B、00000000B B.00000000B、10101010B、10101010B C.00000000B、10101010B、00000000B D.10101010B、00000000B、10101010B (18)二进制数11101110B与01110111B的“与”、“或”和“异或”结果是( D ) A.01100110B、10011001B、11111111B B.11111111B、10011001B、01100110B C.01100110B、01110111B、10011001B D.01100110B、11111111B、10011001B (19)下列集成门电路中具有与门功能的是( D ) A.74LS32 B.74LS06 C.74LS10 D.74LS08

《单片机应用技术》期末测试题

《单片机应用技术》期末测试题 2分,共20分) 下面对于MCU描述正确的是()。 A.微控制器 B.存储器 C.开发工具 D.中断系统STC89C52RC单片机有几个I/O口()。 A.4 B.3 C.2 D.1)。 A.定时器T0中断 B.定时器T1中断 C.外部中断0 D.外部中断1 下面那个字符()一位七段数码不能显示。 A.2 B.3 C.C D.K 数码管中单个发光二极管的管压降一般为()。 A.1.7V B.1.8V C. 1.9V D.2V DS18B20有()根数据线。 A.4 B.3 C.2 D.1 DS18B20就是一种典型的()。 A.热电阻 B.热电偶 C.温度IC D.铂电阻 MCS-51单片机内部的定时器/计数器1可以用下面()来表示。 A.Q1 B.R1 C.S1 D.T1 DS1302的SCLK引脚功能是()。 A.串行时钟 B.串行数据 C.并行时钟 D.并行数据 10.DS1302有()个电源引脚。 A.4 B.3 C.2 D.1 二、简答题(共6题,每小题10分,共60分) 1. 简述时钟芯片的分类。 2.简述时钟周期、机器周期和指令周期之间的关系。 3. 如何对数码管进行测量?

什么称为中断?中断有什么特点? 6. 单片机的开发系统由哪些部分构成? 绘制出典型的程序下载电路。 三、编程题(共2题,每小题10分,共20分) 1.根据下图所示电路试编写程序实现1、3、4、5和7的循环显示。

2.如何用下图所示电路实现下述功能?要求根据下面说明编写相应C语言程序。 (1)按键按下,对应的灯亮,按键未按下,对应的灯灭。对应关系变为S1对应D4;S2对应D3;S3对应D2;S4对应D1。 (2)按下S1时D1到D4全亮;按下S2时D1到D4全灭;按下S3时D1 和D3全亮,D2和D4全灭;按下S4时D1和D3全灭,D2和D4全亮。

单片机原理及应用教学教案

第一讲 一、授课内容: 1、什么是单片机 2、单片机的发展 二、授课类型:讲授 三、授课时数:2学时 四、教学目标:了解单片机的发展,应用领域和应用模式,掌握单片机的特点 五、教学重、难点: 重点/难点:单片机的特点 六、教学设想: 借助产品、作品演示,一方面可以使课堂生动,另一方面可以腾出大量时间加强对重难点知识的讲解,增强学生对知识的理解,同时提高他们对本学科的兴趣. 七、教学过程:(板书) 一、什么是单片机 随着微电子技术的不断发展,计算机技术也得到迅速发展,并且由于芯片的集成度的提高而使计算机微型化,出现了单片微型计算机(Single Chip Computer),简称单片机,也可称为微控制器MCU(Micro controller Unit)。单片机,即集成在一块芯片上的计算机,集成了中央处理器CPU(Central Processing Unit)、随机存储器RAM(Random Access Memory)、只读存储器(Read Only Memory)、定时器/计数器以及I/O接口电路等主要计算机部件。 二、单片微型计算机发展概况 单片机出现的历史并不长, 但发展十分迅猛。它的产生与发展和微处理器的产生与发展大体同步, 自1971年美国Intel公司首先推出4位微处理器(4004)以来, 它的发展到目前为止大致可分为5个阶段: 第1阶段(1971~1976): 单片机发展的初级阶段。 1971年11月Intel 公司首先设计出集成度为2 000只晶体管/片的4位微处理器Intel 4004, 并配有RAM、 ROM和移位寄存器, 构成了第一台MCS—4微处理器, 而后又推出了8位微处理器Intel 8008, 以及其它各公司相继推出的8位微处理器。 第2阶段(1976~1980): 低性能单片机阶段。以1976年Intel公司推

小学信息技术说课稿全集

小学信息技术说课稿全册 认识小鼠标说课稿 各位评委,各位老师,下午好! 今天我要说课的题目是《认识小鼠标》,如有不当之处请各位评委老师多多指正和批评。 一、教材分析 本课主要介绍认识鼠标和鼠标的各种操作方法,并通过玩游戏巩固鼠标操作。 重点: 1.鼠标的基本操作:指向、单击、双击和拖拽。 2.通过游戏,使学生充分感受到操作鼠标的乐趣,激发学生的学习兴趣。 3.培养学生综合分析、评价能力及创新能力。 难点: 1. 鼠标的双击、拖拽。 2. 培养学生综合分析、评价能力及创新能力。 二、学生分析 本年龄段的学生好奇心强,求知欲旺盛,对新事物接受得比较快。要善于利用这一特点完成知识的传授。通过学生主动尝试,学习新知。 三、教学目标 1.知识与技能目标:新旧知识的衔接:鼠标的基本形状。 2.过程与方法目标:通过《涂鸦城的神奇画笔》游戏,让学生在操作鼠标的同时,学会鼠标的基本操作:单击、双击和拖拽。 3.情感与态度目标:通过游戏,让学生充分感受到操作鼠标的乐趣,激发学生的学习兴趣。 四、教学过程

(一)、定向引导,激发兴趣 今天我们的课堂上上来了一个可爱的小老鼠朋友。他给我们带来了一个谜语。大家来猜一猜好不好? 出示课件 “小老鼠,尾巴长,左键右键啪啪响。上下动,左右移,光标紧紧跟着忙。”下面我们就让鼠标来介绍一下他自己吧: 出示课件 大家看一下我们的鼠标和小老鼠长得哪里像? 抓住学生说的耳朵和左健右健像,引导到鼠标的握法上。(任务一) 学生互查 (二)、创设情境,任务驱动 以寻找神秘城堡的愿望笔为情景激发学生兴趣, 1、尝试打开《涂鸦城的神奇画笔》,学生尝试操作。(任务二)。 教师巡视学生实践操作过程中的情况,发现问题。 2、广播,找学生讲解演示如何进入大城堡。 教师小结“双击”(连续快速按两下鼠标左键)。 (三)、合作学习、解决问题 1、指定第一个城堡为目标进入,试着找愿望笔(任务三); 学生操作 教师巡视 (预设,大部分学生将在第三关的鼠标拖动上遇到问题。) 2、师生交流:自己在找笔时遇到的困难。 其他同学帮助解决 广播演示 问题一:对象的选择 找学生讲解演示 教师小结单击(轻轻按一下鼠标左键)

单片机应用技术考试题库

习题1 1.1单项选择题 (1)MCS-51系列单片机的CPU主要由组成。 A. 运算符、控制器 B.加法器、寄存器 C.运算器、加法器 D.运算器、编码器 (2)单片机中的程序计数器PC用来。 A.存放指令 B.存放正在执行的指令地址 C.存放下一条指令的地址 D.存放上一条指令地址 (3)单片机8031的ˉEA引脚。 A.必须接地 B.必须接+5V电源 C.可悬空 D.以上三种视需要而定 (4)外部扩展存储器时,分时复用做数据线和低8位地址线的是。 A.P0口 B.P1口 C.P2口 D.P3口 (5)PSW中的RS1和RS0用来。 A.选择工具寄存器 B.指示复位 C.选择定时器 D.选择工作方式 (6)单片机上电复位后,PC的内容为。 A.0000H B.003H C.000BH D.0800H (7)Intel 8051单片机的CPU是。 A.16 B. 4 C. 8 D.准16位 (8)程序是以形式存放在程序存储器中的。 A. C语言源程序 B.汇编程序 C.二进制编码 D.BCD码 (9)8050单片机的程序计数器PC为16位计数器,其寻址范围是。 A. 8 KB B.16KB C.32KB D.64KB (10)单片机的ALE引脚是以晶振荡频率的固定频率输出正脉冲,因此它可作为外部时钟或外部定 时脉冲使用。 A.1/2 B.1/4 C.1/6 D.1/12 1.2填空题 (1)单片机系统是由和组成的。 (2)除了单片机和电源外,单片机最小系统包括电路和电路。 (3)在进行单片机应用系统设计时,除了电源和地线引脚外,、、、引脚信号必须 连接相应电路。 (4)MCS-51系列单片机的存储器主要有4个物理存储空间,即、、、。 (5)MCS-51系列单片机的XTALL和XYAL2引脚是引脚。 (6)MCS-51系列单片机的应用程序一般存在中。 (7)片内RAM低128单元,按其用途划分为、和3个区域。 (8)当振荡脉冲频率为12 MHz时,一个机器周期为;当振荡脉冲频率为6 MHz时,一个机器周期 为。 (9)MCS-51系列单片机的复位电路有两种,即和。 (10)输入单片机的复位信号需延续个机器周期以上的电平时即为有效,用于完成单片机的复位 初始化操作。 1.3回答题 (1)什么是单片机?它由哪几部分组成?什么是单片机应用系统? (2)P3口第二功能是什么?

初中信息技术说课稿

初中信息技术说课稿《信息与信息技术》 泸溪思源实验学校杨秀国 一、教材分析和学情分析: 1、教材分析:信息技术学科是学科中最年轻的工具性学科,同时又是知识技能更新最快的学科。《信息与信息技术》的教学对象是七年级的同学,它是教材关于信息技术这一学科的初步认识。 本节是基础性的纯理论的内容,所介绍的一些有关信息的知识以激发学生兴趣为主,可以为进一步学习后续内容打下基础,也是形成学生“了解熟悉---基本技能---综合运用”这一合理知识链的必要环节。新的学科的学习,对学生充满着挑战,能够让学生在现有基础上产生一种求知的冲动。正是这种冲动,导致学生的理想和实际技能的不平衡。 基于此点,从信息技术本身学科特点出发,结合与生活实践的整合理念,设计这一课时,目的在于让学生知道现今是信息高速发展的社会,了解“适者生存,不适者淘汰”的这一社会现实,唤起学生的生活体验,培养学生的信息素养。 2、学情分析:学生对微机充满了向往,甚至用“崇拜”这词决不过分;兴趣是最好的老师。(每次上信息技术课之前,学生在机房门口早已排好了长长的队伍,等着老师的到来。特别是第一次接触计算机的同学,用手摸一摸显示器都会欢欣鼓舞) 基于对学生情况的分析,我将本节课的内容作了一些调整,我想在学生初步建立信息技术中“信息”的感性认识后,能亲自在网上根据特定的任务,搜索一些信息,来加深理解,更能提高学生的学习兴趣。 3、本节课的内容:了解信息的特征和信息的表达方式;

重点:信息的特征; 难点:培养能从各种途径获取信息的思维习惯,以及培养辨析信息的能力。 二、说目标: 1、一个指导思想:“使学生明白,随着信息技术的飞速发展,人类活动的终极目标就是:把复杂的问题简单化,对推动社会的发展作出贡献。” 2、两个学会:学会信息的特征和信息的流程; 3、一种体验和一种培养:以信息处理为主线,注重培养学生的信息素养以及获取、分析、处理、传递、运用信息的意识,体验信息从获取到运用的全过程,并在其中享受快乐; 4、多种收获:养成在获取信息到运用信息的思维习惯,通过聆听、理解老师的想法,提高学生的听力;通过阅读提高学生的自学、自悟的能力;通过对学生的上机姿势矫正、上机时间和休息时间的合理分配,使学生养成劳逸结合的习惯。通过对信息的正确判断,提高学生的辨别能力; 三、教法和学法: 1、教法: (1)、任务驱动:结合信息技术本身的特点,要求我们知识和技能的传授应以“典型任务”为主,突破一个个“思维障碍”,因此本课采用建构理论下的主体教育模式,通过学生已储备的书本知识和生活经验,设置一个个任务,让学生在学习任务中,自己思考,主动探究,以“任务驱动”的方式发展能力。教师在整个过程中起到组织、引导、协调、控制、咨询的作用。启发、诱导贯穿其中,创建自我探究的学习平台。

相关主题