搜档网
当前位置:搜档网 › 乒 乓 球 游 戏 机 设 计

乒 乓 球 游 戏 机 设 计

乒 乓 球 游 戏 机 设 计
乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology

通信工程专业课程设计Ⅱ

题目乒乓球游戏机设计

学生贾潇洒学号0713024056

所在院(系)陕西理工学院电信工程系

专业班级通信工程专业0 7 2 班

指导教师争兵

完成地点陕西理工学院电信工程系实验室

2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书

院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒

一、课程设计Ⅱ题目乒乓球游戏机设计

二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止

三、课程设计Ⅱ进行地点: 电信工程系实验室

四、课程设计Ⅱ的容要求:

[1]本课程设计的目的

本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。

[2]本课程设计的容

采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。

[3]本课程设计要实现的功能

用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。

[4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证;

3月4日-3月10日,编写程序、运行及仿真;

3月11日-3月12日,下载并验证其功能;

3月16 日,验收课程设计;

3月16日-3月19日,撰写、修改、提交课程设计报告。

指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

乒乓球游戏机设计

贾潇洒

(理工学院电信工程系通信072班, 723003)

指导教师:争兵

[摘要]本课程设计制作了一个乒乓球游戏机,此乒乓球游戏机能够正确判断与显示乒乓球的位置,并能自动裁判和记分。设计过程中对乒乓球游戏机程序进行了编译运行、仿真下载,从而实现一个完整的乒乓球游戏机。

[关键词]乒乓球游戏机;实现;VHDL;MAX+PLUSⅡ;

[中图分类号] TN702

[文献标志码] A

Design of Table Tennis Game circuit

Jia Xiaosa

(Shaanxi Institute of Technology Telecom Engineering Communication 072 class,

Shaanxi Hanzhong 723003)

Instructor: Zheng Zhengbing

[Abstract] The course design produced a Table Tennis Game circuit. The design of the Table Tennis Game circuit could judge and display the position of Table Tennis,and could judge and record points automatically. In the design process has carried on the translation movement, simulation downloading to the ping pong mechanical games procedure, thus realized complete ping pong mechanical games.

Keywords: Table Tennis Game circuit; VHDL; MAX + PLUS Ⅱ; process simulation;

目录

1.绪论 (1)

1.1课题背景 (1)

1.1.1 EDA技术的发展 (1)

1.1.2 硬件描述语言——VHDL (1)

1.2 复杂可编程逻辑器件简介 (3)

1.3 MAX+plusII简介 (3)

2.方案论证 (5)

2.1课题设计原理及容 (5)

2.2课题设计方案 (5)

2.3方案选择 (6)

3.乒乓球游戏机电路设计过程 (8)

3.1 设计规划 (8)

3.2 各模块原理及程序 (9)

4.系统编译、仿真 (15)

4.1系统的编译 (15)

4.2系统的仿真 (16)

5.编程下载及测试 (20)

5.1引脚锁定 (20)

5.2编程下载 (20)

5.3硬件测试 (21)

结论 (23)

致 (24)

参考文献 (25)

1.绪论

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。

现代电子技术的核心是EDA(Electronic Design Automation)技术。EDA就是技术依赖于功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布线布局),以及逻辑优化和仿真测试,甚至实现既定的电子线路系统功能。EDA技术使得设计着的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

本课程设计正是利用EDA技术和MAX PULSII这个软件平台实现了乒乓球游戏的功能,乒乓球游戏电路是有A、B双方参赛,由裁判控制发“球”的三人乒乓球游戏机;它能完成自动裁判和自动计分并带有数字显示的模拟游戏机。它具有构造简单、成本低、易操作,安全性强、无污染等特点。

1.1课题背景

1.1.1 EDA技术的发展

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极提高了电路设计的效率和可行性,减轻了设计者的劳动强度。

电子设计技术的核心就是EDA技术,EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用进行IC版图编辑、PCB 布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE 除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入、逻辑仿真、电路分析、自动布局布线、PCB后分析。90年代为电子系统设计自动化(EDA)阶段。

1.1.2 硬件描述语言——VHDL

VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,于1983年由美国国防部(DOD)发起创建,由IEEE (The Institute of Electrical and Electronics Engineers)进一步发展并在1987

年作为“IEEE标准1076”(IEEE Std 1076)发布。从此,VHDL成为硬件描述语言的业界标准之一。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA 公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的应用,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL 的容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域已成为事实上的通用硬件描述语言。专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL语言具有很强的电路描述和建模能力,能再多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。它主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和部(或称不可视部分),既涉及实体的部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成外部分的概念是VHDL系统设计的基本点。。

VHDL 是一种独立于实现技术的语言,它不受某一特定工艺的束缚,允许设计者在其使用围选择工艺和方法。为了适应未来的数字硬件技术,VHDL 还提供了将新技术引入现有设计的潜力。VHDL 语言的最大特点是描述能力极强,覆盖了逻辑设计的诸多领域和层次,并支持众多的硬件模型。具体而言,VHDL 较其他的硬件描述语言有如下优越之处:

(1)支持从系统级到门级电路的描述,同时也支持多层次的混合描述;描述形式可以是结构描述,也可以是行为描述,或者二者兼而有之。

(2)既支持自底向上(bottom-up)的设计,也支持自顶向下(top-down)的设计;

既支持模块化设计,也支持层次化设计;支持大规模设计的分解和设计重用。(3)既支持同步电路,也支持异步电路;既支持同步方式,也支持异步方式。

(4)支持传输延迟,也支持惯性延迟,可以更准确地建立复杂的电路硬件模型。(5)数据类型丰富,既支持预定义的数据类型,又支持自定义的数据类型;VHDL 是强类型语言,设计电路安全性好。

(6)支持过程与函数的概念,有助于设计者组织描述,对行为功能进一步分类。(7)提供了将独立的工艺集中于一个设计包的方法,便于作为标准的设计文档保存,也便于设计资源的重用。

(8)VHDL 语言的类属提供了向设计实体传送环境信息的能力。

(9)VHDL 语言的断言语句可用来描述设计本身的约束信息,支持设计直接在描述中书写错误条件和特殊约束,不仅便于模拟调试,而且为综合化简提供了重要信息。

1.2 复杂可编程逻辑器件简介

CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL 器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆将代码传送到目标芯片中,实现设计的数字系统。

CPLD主要是由可编程逻辑宏单元(MC,Macro Cell)围绕中心的可编程互连矩阵单元组成。其中MC结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结构,完成一定的功能。由于CPLD部采用固定长度的金属线进行各逻辑块的互连,所以设计的逻辑电路具有时间可预测性,避免了分段式互连结构时序不完全预测的缺点。

历史上,可编程逻辑器件经历了从PROM(Programmable Read Olny Memory)、PLA(Programmable Logic Arrary)、PAL(Programmable Arrary Logic)、可重复编程的GAL(Generic Arrary Logic),到采用大规模集成电路技术EPLD,直至CPLD和FPGA 的发展过程,在结构、工艺、集成度、功能、速度和灵活性方面都有很大的改进和提高。

它具有编程灵活、集成度高、设计开发周期短、适用围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。

1.3 MAX+plusII简介

MAX+pulsII是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大的可编程逻辑器件供应商之一。MAX+pulsII界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

MAX+plus II的设计过程由设计输入、项目编译、功能、时序仿真(项目校验)、编程/配置(项目编程)几部分组成。其中常用的设计输入的方法有:通过MAX+plus II图形编辑器,创建图形文件(.gdf );通过MAX+pluslI文本编辑器,使用VHDL语言,创建文本设计文件(.tdf);使用VHDL语言,创建文本文件(.vhd);使用Verilog HDL语言,创建文本文件(.v)。

MAX+plus II提供了原理图输入、文本输入(采用硬件描述语言)和波形输入等多种输入手段,并可以任意组合使用。利用该工具所配备的编辑、编译、仿真、综合、芯片编程等功能,可将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),做成ASIC芯片。用户首先对所做项目进行设计,明确设计目的、设计要求;然后利用原理图输入方式或文本输入方式进行设计输入;输入完成后,

进行编译,若编译过程中发现错误,则检查设计输入,修改错误,直至没有错误发生;编译完成后,就可以进行仿真,检查设计是否达到设计要求,否则的话,还需重新检查设计输入;仿真结果达到要求后,就可以进行烧录,把设计程序下载到目的芯片中;最后把芯片放到实际系统中进行验证、测试。MAX十PLUS II编器可以在PC机及各种工作站平台上运行,这使MAX十PLUS II成为工业界中唯一与平台和结构无关的可编程逻辑设计环境。可编程逻辑器件和EDA技术给今天的硬件系统设计者提供了强有力的工具,使得电子系统的设计方法发生了质的变化。现在,只要拥有一台计算机、一套相应的EDA软件和空白的可编程逻辑器件芯片,在实验室里就可以完成数字系统的设计和生产。

2.方案论证

2.1课题设计原理及容

本文设计的是一个乒乓球游戏机的状态机。利用VHDL,不需要按照传统的设计方法进行烦琐的状态分配、绘制状态、化简状态方程等,就可以简单地根据MDS图直接对状态机进行描述。该设计可以实现的功能如下:

该设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

(1)用8个(或更多个)LED排成一条直线,以中点为界,两人乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动,在游戏机的两侧各设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。

(2)当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。当甲方按动乒乓球开关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方方向依次点亮,代表乒乓球的移动。当球过网后按照设计者规定的球位乙方就可以击球。若乙方提前击球或者未击到球,则甲方得分。然后重新发球进行比赛。

(3)设置自动记分电路,甲、乙双方各用7段译码管进行记分显示,每计满11分为1局,记分牌清零,重新开始新一局比赛。

2.2课题设计方案

根据设计要求,有以下两种方案可供选择

方案一:采用原理图和文本输入两种方法

用8个发光二极管代表乒乓球台,中间两个发光二极管兼作乒乓球网,用点亮的发光二极管按一定的方向移动来表示球的运动。在游戏机的两侧各设置两个开关,一个是发球开关(s1a,s1b),另一个是击球开关(s2a,s2b)。甲乙两人按乒乓球比赛的规则来操作开关。

当甲方按动发球开关时s1a,靠近甲方的第一盏灯先亮,然后发光二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,按设计者规定的球位乙方就可以击球。若乙方提前或没有击着球,则判乙方失分,甲方记分牌自动加分。然后重新发球,比赛继续进行。比赛一直进行到一方记分到11分,该局结束,记分牌清零,可以进行新的一轮比赛。

根据乒乓球游戏机的功能要求,,可以分成四个模块来实现,其中模块cornal为整个程序的核心,他实现了整个系统的全部逻辑功能;模块ch41在数码管的片选信号变化时,送出相应的数据,在这里由于乒乓比赛有双方A和B,因为满分为11分,故数码管的片选信号可以用000,001, 100,101,来表征。

模块ch41a在数码管的片选信号变化时,送出相应的数据。模块sel产生数码管的片选信号;

当sel模块产生为100时,送出d0,接cornal模块的AL。

当sel模块产生的为101时,送出d1,接cornal模块的AH。

当sel模块产生的为000时,送出d2,接cornal模块的BL。

当sel模块产生的为001时,送出d3,接cornal模块的BH。模块disp是7段译码器。各模块连接电路如下图所示

方案二:采用文本输入的方法

用八个VHDL文件模拟一个乒乓球游戏电路的完整设计。其中,tennis模块是顶层设计,在MAX+plusII中可设其为工程文件;ball是模拟乒乓球行进路径的发光管亮灯控制模块;在游戏中,以一排发光管交替发光指示乒乓球的行进路径,其行进的速度可由输入的时钟信号clk控制;board是乒乓板接球控制模块,即当发光管亮到最后一个的瞬间,若检测到若检测到对应的表示球拍的键信号,立即将“球”反向运行,如果此时没有接到键信号,将给出出错鸣叫,同是为对方记1分,并将记分显示出来;cou4和cou10分别是失球计数器的高低位技术模块;是乒乓球行进方向控制模块,主要由发球键控制;sound是失球提示发生模块。

2.3方案选择

对以上两种方案进行分析,方案二由于完全采用文本输入法设计,模块较多,程序及各部分部结构相对复杂,不易于设计,如果设计不当,将导致测频结果精确度降低,在程序运行仿真阶段也会由于结构复杂而易出现错误。方案一采用了文本和原理

图两种输入方法进行设计,由于程序模块精简,各模块分工明确,设计起来思路比较清晰,原理图输入法使乒乓球游戏机的结构更加直观,能很好的模拟实际的乒乓球游戏,结构简单,功能齐全,让人一目了然。

综合以上原因,从实现难易,误差大小以及最终结果等各方面考虑,选择方案一来完成该实验。根据方案一中乒乓球游戏电路的设计原理,本次方案运用VHDL编程实现数字显示,LED显示,计分电路以及7段数码管显示,完成实验。

3.乒乓球游戏机电路设计过程

3.1 设计规划

根据乒乓球比赛的过程和规则,首先游戏开始,如果一方非正确击球则另一方加分,当分数等于11时获胜,游戏结束,我们把设计流程规定如图3-1所示。

状态机设置了7个状态,分别是“等待发球状态”,“第一盏灯亮状态”,“第八盏灯亮状态”,“球向乙移动状态”,“球向甲移动状态”,“允许甲击球状态”,“允许乙击球状态”。这是该程序中起决定作用的七个状态。开始的时候处于“等待发球状态”,若甲发球则状态转移到“第一盏灯亮状态”,若乙发球则转移到“第八盏灯亮状态”,具体说明以甲球为例。

若发球后乙没有提前击球----规定球过网就可以球,那么状态机从“第一盏灯亮状态”转移到“球向乙移动状态”。若在“球向乙移动状态”乙仍然没有提前击球,状态就转移到“允许乙击球状态”,在此状态下,如果乙击球了,那么状态就转移到“球向甲移动状态”。在“第一盏灯亮状态”,“球向乙移动状态”中,如果乙击球了,就算提前击球,这样甲得分,状态转移到“等待发球状态”等待发球,“球向甲移动

状态”之后的过程和前面的过程只不过是甲乙角色的调换而已。状态转移规则都是一样的。

3.2 各模块原理及程序

该乒乓球游戏电路的设计主要包括的模块与容有:乒乓球游戏电路实体的设计,游戏电路编程的实现,记分译码器的设计以及构造体的设计。

控制模块cornal分为两个进程,第一个进程实现逻辑功能,第二个进程实现整数的记分转换为十进制,便于译码显示。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY cornal IS

PORT(clr,af,aj,bf,bj,clk: IN STD_LOGIC;

shift:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

ah,al,bh,bl: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

awin,bwin: OUT STD_LOGIC);

END cornal;

ARCHITECTURE behave OF cornal IS

SIGNAL amark,bmark: INTEGER;

BEGIN

PROCESS(clr,clk)

VARIABLE a,b: STD_LOGIC;

VARIABLE she: STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

IF clr='0'THEN

a:='0';

b:='0';

she:="00000000";

amark<=0;

bmark<=0;

ELSIF clk'EVENT AND clk='1'THEN

IF a='0'AND b='0' AND af='0' THEN a:='1';

she:="10000000";

ELSIF a='0'AND b='0'AND bf='0' THEN b:='1';

she:="00000001";

ELSIF a='1'AND b='0' THEN

IF she>8 THEN

IF bj='0' THEN

amark<=amark+1;

a:='0';

b:='0';

she:="00000000";

ELSE

she:='0'&she(7 DOWNTO 1);

END IF;

ELSIF she=0 THEN

amark<=amark+1;

a:='0';

b:='0';

ELSE

IF bj='0' THEN

a:='0';

b:='1';

ELSE

she:='0'&she(7 DOWNTO 1);

END IF;

END IF;

ELSIF a='0' AND b='1' THEN

IF she<16 AND she/=0 THEN

IF aj='0' THEN

bmark<=bmark+1;

a:='0';

b:='0';

she:="00000000";

ELSE

she:=she(6 DOWNTO 0)&'0';

END IF;

ELSIF she=0 THEN

bmark<=bmark+1;

a:='0';

b:='0';

ELSE

IF aj='0' THEN

a:='1';

b:='0';

ELSE

she:=she(6 DOWNTO 0)&'0';

END IF;

END IF;

END IF;

END IF;

shift<=she;

END PROCESS;

PROCESS(clk,clr,amark,bmark)

VARIABLE aha,ala,bha,bla:STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE tmp1,tmp2: INTEGER;

VARIABLE t1,t2:STD_LOGIC;

BEGIN

IF clr='0' THEN

aha:="0000";

ala:="0000";

bha:="0000";

bla:="0000";

tmp1:=0;

tmp2:=0;

t1:='0';

t2:='0';

ELSIF clk'EVENT AND clk='1' THEN

IF aha="0001" AND ala="0001" THEN aha:="0001";

ala:="0001";

t1:='1';

ELSIF bha="0001" AND bla="0001" THEN bha:="0001";

bla:="0001";

t2:='1';

ELSIF amark>tmp1 THEN

IF ala="1001" THEN

ala:="0000";

aha:=aha+1;

tmp1:=tmp1+1;

ELSE

ala:=ala+1;

tmp1:=tmp1+1;

END IF;

ELSIF bmark>tmp2 THEN

IF bla="1001" THEN

bla:="0000";

bha:=bha+1;

tmp2:=tmp2+1;

ELSE

bla:=bla+1;

tmp2:=tmp2+1;

END IF;

END IF;

END IF;

al<=ala;

bl<=bla;

ah<=aha;

bh<=bha;

awin<=t1;

bwin<=t2;

END PROCESS;

END behave;

送数据模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ch41 IS

PORT(sel:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

d0,d1,d2,d3:IN STD_LOGIC_VECTOR(3 DOWNTO 0); q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END ch41;

ARCHITECTURE rtl OF ch41 IS

BEGIN

PROCESS(sel)

BEGIN

CASE sel IS

WHEN "100"=>q<=d0;

WHEN "101"=>q<=d1;

WHEN "000"=>q<=d2;

WHEN OTHERS=>q<=d3;

END CASE;

END PROCESS;

END rtl;

产生数码管片选信号模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY sel IS

PORT(clk:IN STD_LOGIC;

sell:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END sel;

ARCHITECTURE behave OF sel IS

BEGIN

PROCESS(clk)

VARIABLE tmp: STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

IF clk'EVENT AND clk='1' THEN

IF tmp = "000" THEN

tmp:= "001";

ELSIF tmp= "001" THEN

tmp:= "100";

ELSIF tmp= "100" THEN

tmp:= "101";

ELSIF tmp= "101" THEN

tmp:= "000";

END IF;

END IF;

sell<=tmp;

END PROCESS;

END behave;

7段译码器模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY disp IS

PORT(d: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

q: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END disp;

ARCHITECTURE behave OF disp IS

BEGIN

PROCESS(d)

BEGIN

CASE d IS

WHEN "0000"=>q<= "0111111";

WHEN "0001"=>q<= "0000110";

WHEN "0010"=>q<= "1011011";

WHEN "0011"=>q<= "1001111";

WHEN "0100"=>q<= "1100110";

WHEN "0101"=>q<= "1101101";

WHEN "0110"=>q<= "1111101";

WHEN "0111"=>q<= "0100111";

WHEN "1000"=>q<= "1111111";

WHEN OTHERS=>q<= "1101111";

END CASE;

END PROCESS;

END behave;

4.系统编译、仿真

4.1系统的编译

在编译综合之前,首先应将此项设计设为当前工程:选择菜单File→Project→Set Project to Current File,当前的设计工程即被指定为MAX21A。在设定工程文件之后,应该选择用于编程的芯片,以便能在编译后得到有针对性的时序仿真文件。单击Assign,然后在下拉列中单击Device,首先消去“Show Only Fastest Speed Grades”中左边的钩。在Device Family中选MAX7000S系列,然后在Devices中选择EPM7128SLC84-15,然后点击OK即可。

以下是本课程设计编译时的过程。

图4-1乒乓球模块编译

在编译的过程中,出现了如图4-1所示的问题,导致程序不能再继续编译。

图4-2乒乓球模块编译

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

乒乓球比赛游戏机设计报告

乒乓球比赛游戏机 姓名:___***____ 学号:___201114040215__ 班级: ___11表二____

一、设计题目及要求: 题目:乒乓球比赛游戏机。 要求:(1)设计一个甲乙双方参赛,裁判参与的乒乓球比赛游戏机用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮表示击球,若击中,则“球”向相反方向运动,若未击中,对方得一分。 (3)设置自动计分电路,双方各用两位数码管来显示计分,每局10分,到达10分时,产生报警信号,铃响三秒。 二、设计思路及总体方案 两人乒乓球游戏机是由发光二极管代替球的运动,并按照一定的规则进行对垒比赛。甲乙双方击球用开关表示。 当甲乙按动开关时,球向前运动,当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球。若未击中则表示对方得分,利用计数器连接成十进制计数器记分每局十分。当二者任意一方得分为十分时发生警信号,并且自动停止球的运动,三秒的报警后,自动停止。 图1:乒乓球比赛游戏结构框图

三、元器件选型及依据: 四、电路的设计: (1)总体设计思路如下: 1.用两个74LS194四位双向移位寄存器来模拟乒乓球台,其中第一个74LS194的DL输出端QD接第二个的右移串行输入端SR,这样当乒乓球往右准备移出第一个寄存器的时候就会在时钟脉冲的作用下被移入第二个寄存器。同样道理,第二个74LS194的QA输出端接第一个的左移串行输入端。 2.用JK触发器7473、继电器及逻辑门电路构成驱动控制电路。 3.用十进制计数器74LS160D、逻辑门电路和集成的4管脚的数码管组成计分电路。 4.用十进制计数器74LS160D改装3进制计数器、逻辑门电路和蜂鸣器组成3秒报警电路。 (2)单元电路设计: 2.1、球台电路设计: 球台电路设计如下图所示:

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

关于乒乓球比赛的作文300字(共六篇)_作文

关于乒乓球比赛的作文300字(共六篇) 1、乒乓球比赛 我们从李老师那儿得到一个振奋人心的好消息:我们班将举行一次乒乓球比赛。消息一传出,就有不少人踊跃报名。大家都特别期待比赛的到来。 比赛还没开始,赛场外就已人山人海。参赛选手陆陆续续进入赛场,比赛正式开始。 首先上场的是周承煜和陈仁杰。周承煜不费吹灰之力将陈仁杰击败。接着青云直上,连续击败好几名强敌。和贺绍斯交锋。 贺绍斯素有“国球先锋”之称,在他面前,周承煜是凶多吉少。贺绍斯得意洋洋地挥舞着球拍,周承煜则胸有成竹,巍然不动,俨然一副泰山崩于前而不动容,惊雷响其后而不改色的样子。 随着一声哨响,贺绍斯发出一个难度极高的转球,一副得意洋洋的样子。古人云:“从古骄兵多必败,从来轻敌少成功。”果然,周承煜往右一接,贺绍斯措手不及,没接住,1:0。旁边爆发出一阵欢呼。贺绍斯输了一个球,仍然不以为意。结果发了一个空心球,2:0。贺绍斯开始心慌了,结果又没有接住。3:0。周承煜获胜。老师给前三名发了奖品。 这是一次有趣的乒乓球比赛,同时我也懂得了一个道理:骄兵必败。 2、乒乓球比赛 “耶——”你一定会奇怪这声音是从哪里来的。告诉你吧,是我们的作文班,因为现在我们要举行一场别开生面的夹乒乓球

老师宣布了规则:必须一个一个地夹过去,掉下地继续,另外还不许用手触摸。“比赛开始。”裁判员——老师一声令下。第一场比赛开始了,观众们欢呼雀跃。丰凡杰最“杯具”,他夹了又掉,掉了又夹,可谓是“屡夹屡掉”,笑得我们上气不接下气。第二场,我大难临头,老师竟挑我上场,我心里像装了只小兔子似的,不停乱跳。我打第二棒,李涵快速地夹给了我,我用力太大,把乒乓球夹掉了。还好及时,我不管三七二十一在桌上滚给了王智辰,不想王智辰却犯规,我才轻松就又被大包裹压得喘不过气来。最终我们还是赢了。 第三场时,我则变成了观众。这组最让我期望。哎,可惜希望越大,失望越大。前面两位好好的,可到了班长那便掉下了地,我们在那幸灾乐祸,王智辰笑得直拍桌子。陈佳雯像只热锅上的蚂蚁——团团转,对乒乓球发起了猛烈的攻势,乒乓球招架不住,只好得乖乖投降了。 这场夹乒乓球比赛别开生面,让我们回味无穷。我希望以后还能举行这样的比赛。 3、乒乓球比赛 昨天,我看了一场中国对韩国的乒乓球比赛。因为这是女子半决赛,所以我全神贯注地看着。 首先,中国与韩国打了打,一下子打到4比5。郭跃开了球,韩国老手接了过来,郭跃一搓,韩国运动员一板打了过去,可惜打到网,比分变成4比6。韩国人开了一个不知上旋球还是下旋球,郭跃打了过去,哇,打高了,对方一扣,就扣死了,对方追回了

乒 乓 球 游 戏 机 设 计

Shaanxi University of Technology 通信工程专业课程设计Ⅱ 题目乒乓球游戏机设计 学生贾潇洒学号0713024056 所在院(系)陕西理工学院电信工程系 专业班级通信工程专业0 7 2 班 指导教师争兵 完成地点陕西理工学院电信工程系实验室 2010年 3 月 19 日

通信工程专业课程设计Ⅱ任务书 院(系) 电信工程系专业班级通信工程专业 072班学生贾潇洒 一、课程设计Ⅱ题目乒乓球游戏机设计 二、课程设计Ⅱ工作自 2010 年 3 月 1 日起至 2010 年 3 月 19 日止 三、课程设计Ⅱ进行地点: 电信工程系实验室 四、课程设计Ⅱ的容要求: [1]本课程设计的目的 本次课程设计为计算机类综合课程设计,通过计算机这个平台使我们将所学习的理论知识应用到实践中的一次尝试,同时也是对计算机辅助软件Max-pulsII的进一步熟悉。 [2]本课程设计的容 采用EDA技术---可采用VHDL或原理图输入法,设计一个乒乓球游戏电路,进行声光模拟,结果应有仿真波形、流程图、并下载在EDA开发板上,测试验证无误。 [3]本课程设计要实现的功能 用8个(或更多个)发光二极管排成一条直线代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各有两个开关控制双方的发球和接球,并能自动完成裁判、记分等功能。 [4]课程设计时间安排:3月1日-3月3日,熟悉容,方案论证; 3月4日-3月10日,编写程序、运行及仿真; 3月11日-3月12日,下载并验证其功能; 3月16 日,验收课程设计; 3月16日-3月19日,撰写、修改、提交课程设计报告。 指导教师系(教研室) 通信工程教研室接受任务开始执行日期 2010年3月1日学生签名

数电课程设计乒乓球比赛word文档

乒乓球比赛游戏机 一、设计任务与要求 1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用EWB 电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 2 课题的内容和要求 设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求: (1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。 (2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。(3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求: (4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。 (5)设置局数显示,5局结束后有声响提示比赛结束。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。

2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 二、方案设计与论证 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计: 1. 球台电路:球迹移动电路可采用双向移位寄存器方法实现,由发光二极管作光点模拟乒乓球移动的轨迹。 2. 驱动控制电路:由双D触发器及逻辑门电路构成,通过此电路来控制并且实现球台灯的左右移位即实现乒乓球的运动。 3. 计分电路:使用十进制的计数器、逻辑门和集成的4管脚的数码管来组成计分电路。 通过多次设计、画图及仿真实验,我们发现方案电路最简洁,原理简单易懂,操作也很方便,且实用性较强。故采用此方案进行设计。 三、单元电路设计

基于VHDL的乒乓球游戏机设计

1引言 EDA(Electronic Design Automation,电子设计自动化)技术是现代电子工程领域的一门新技术。它提供了基于计算机和信息技术的电路系统设计方法。EDA技术的发张和推广应用极大地推动了电子工业的发展。EDA技术就是以计算机为工具,在EDA软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式,即利用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果[1]。近年来,集成电路制造技术的快速发展, 一方面促进了相应设计技术的发展, 另一方面也对设计技术提出了更高的要求。当前集成电路设计, 面临着功能强、性能好、规模大、成本低、设计周期短等一系列要求和挑战, 这些要求和挑战引起了集成电路设计方法的全面革新。当今, 以行为设计为主要标志的新一代数字系统设计理论已形成并得到发展。在集成电路的数字系统的系统级设计中, VHDL 硬件描述语言构造的描述模型优化设计, 有利于高效利用设计空间, 实现设计结构的精确分析, 使芯片资源得以充分利用。 1.1课题的背景、目的 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。而休闲时间越来越少的人们越来越没太多的时间出去搞户外活动,于是众多电子游戏相应而出,成为大家的娱乐节目。因此设计了这个两人的乒乓球游戏。而电子信息类产品的开发明显地出现了两个特点:一是开发产品的复杂程度加深;二是开发产品的上市时限紧迫。而伴随着如上两个特点的产生,相应的出现了设计上的两个问题。其一,在电子系统日趋数字化、复杂化和大规模集成化的今天,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸,从而使得电子设计日趋复杂。那么如何去完成这些高复杂度的电子设计呢?其二,电子产品设计周期短和上市快是电子厂商们坚持不懈的追求,那么面对日趋复杂的设计,又如何能够缩短开发时间呢?解决以上两个问题的唯一途径是电子设计自动化(EDA),即用计算机帮助设计人员完成繁琐的设计工作。

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A 或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;积分电路具有当A和B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛积分的功能。 关键词:游戏机控制电路系统初始化

乒乓球比赛活动小结

乒乓球比赛活动小结 为了促进我校体育文化建设和学生思想道德建设,进一步提高我校学生的乒乓球技术和健康心理素质。展示我校学生的体育良好风貌,推进素质教育。我校举行了3--6年级男女乒乓球对抗赛。 对于本次活动,学校领导、班主任及各科任给予了极高的重视。同学们报名参赛热情空前高涨,此次乒乓球比赛为同学们提供了一个展示自己乒乓球技术的舞台。 比赛过程中队员们以饱满的热情和高度的积极性全身心的投入到比赛当中,并且充分发扬了敢打敢拼的拼搏进取精神,各中队还组织了拉拉队前去助威,每当队员们打出一个精彩的扣杀时,掌声、喝彩声响彻整个赛场!这次的比赛不仅是同学之间技术的较量,还是他们心灵和言语的沟通。这次比赛我们看到了同学们积极向上,努力进取,顽强拼搏的精神,更感受到了同学们高度的集体荣誉感和追求全面发展的上进心。比赛在充满了汗水、掌声和欢笑中落下帷幕,我们也在这次活动中获得了许多宝贵的经验。从整体上来看这次活动是成功的。 这次乒乓球赛办的很成功,不仅结果让人满意、欣慰,更体现出了体育教师很强的组织、管理能力。场上队员精神抖擞,场外观众掌声一片,欢呼声不断。整个比赛在欢乐和谐的气氛中圆满结束。此次比赛,同学们都能以饱满的热情和高涨的积极性全身心的投入到比赛当中去,并且充分发扬了拼搏进取精神。同时也暴露出由于时间短、场次之间连贯紧密,致使参赛队员体力不足,影响了比赛成绩;平时练习少,个人基础差距较大等问题,以后应加强锻炼和提高。 这次比赛充分展示了学生比较高超的竞技水平,在丰富课堂知识的同时,锻炼和强健了体魄。活动给在紧张学习中的同学们增添了乐趣和精彩。通过本次比赛,我们不仅发掘出了一些有潜力的乒乓球后备队员,同时也看到了学生的积极向上、努力进娶顽强拼搏的精神风貌。 活动目的:为全面提高小学生综合素质,丰富校园生活,弘扬“团结合作,勇于创新,拼搏进取,为国争光”的国球精神,进一步提高我校学生乒乓球的技术水平,促进各班之间的相互交流,我校拟定举行乒乓球交流赛。 活动意义:本次活动取得了圆满成功,并增进了各专业同学之间的友谊,为大家提供了一个交流与沟通的平台,达到了预期的效果。

乒乓球比赛游戏机设计

目录 1 引言 0 1.1设计背景 0 1.2VHDL简介 0 1.3Q UARTUSⅡ简介 (1) 2 乒乓球比赛游戏机的设计 (1) 2.1系统设计要求 (1) 2.2设计思路 (2) 3 乒乓球比赛游戏机的实现 (4) 3.1乒乓球比赛游戏机的顶层原理图 (4) 3.2系统各功能模块的实现 (5) 3.2.1 比赛控制模块 (5) 3.2.2 记分模块 (5) 3.2.3 数码管显示模块 (6) 4 各个模块的仿真以及系统仿真、分析 (7) 4.1比赛控制模块仿真波形 (7) 4.2记分模块仿真波形图 (8) 4.3管脚锁定 (9) 4.4系统的波形仿真 (9) 4.5显示结果的几种情况 (12) 5 总结 (13) 5.1设计制作过程中遇到的问题及解决方案 (13) 5.2本设计有以下几个可以改进的地方 (13) 参考文献................................................................................................................... 错误!未定义书签。附录 (13) 游戏控制模块的VHDL程序 (13) 记分模块的VHDL程序 (16) 动态扫描模块的VHDL程序 (17) 译码器模块的VHDL程序 (18)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

EDA课程设计--乒乓球游戏机

EDA课程设计一乒乓球游戏机

EDA技术课程设计课题:乒乓球比赛游戏机 系别:电气与电子工程系 专业: _______ 姓名: __________________________ 学号: __________________________ 指导教师: _______________________

河南城班学院 2012年6月日 成绩评定? 一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。

录 一、设计目的 (2) 二、设计要求 (6) 三、总体设计方案要求 (4) 1、设计的总体原理 (4) 2、设计内容 (6) 四、EDA设计与仿真 (6) 1、系统程序设计 (6) 2、系统程序各功能介绍 (12) 3、仿真结果及数据分析 (13) 五、硬件实现 (14) 1、硬件实现步骤 (14) 2、硬件实现结果 (66) 六、设计总结 (20) 七、参考文献 (21) 八、设计生成的电路总图 (21)

一、设计目的 通过对FPGA (现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实 际工程技术问题的能力、査阅图书资料和各种工具书的能力、工程绘图能力、撰写技术 报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力, 使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 二、设计要求 1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。 2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右, 或从右到 左,其移动的速度应能调节。 3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断 地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

电子技术乒乓球比赛游戏机课程设计模板

电子技术乒乓球比赛游戏机课程设计

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。经过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作, 也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途, 并将理论与实践相结合。 1.1.2 课题的内容和要求 独立完成一个乒乓球比赛游戏机的设计, 采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试, 在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体内容如下: 乒乓球比赛是由甲乙双方参赛, 加上 二极管

设计要求: 1、基本部分 (1) 至少用8个LED排成直线, 以中点为界, 两边各代表参赛双方的位置, 其中一个点亮的LED( 乒乓球) 依次从左到右, 或从由到左移动, ”球”的移动速度能由时钟电路调节。 (2) 当球( 被点亮的那只LED) 移动到某方的最后一位时, 参赛者应该果断按下自己的按扭使”球”转向, 即表示启动球拍击中, 若行动迟缓或超前, 表示未击中或违规, 则对方得一分。 (3) 设计自动记分电路, 甲乙双方各用一位数码管显示得分, 每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权, 每得5分自动交换发球权, 拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分, 电路自动响铃3秒, 此期间发球无效, 等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图, 以说明乒乓球比赛游戏机由哪些相对独立

乒乓球游戏机--EDA课程设计--完整版本

目录 1 设计目的 (1) 2 设计要求和任务 (1) 2.1设计任务 (1) 2.2设计要求 (1) 3 总体设计思路及原理描述 (1) 4 分层次方案设计及代码描述 (2) 4.1控制模块的设计 (2) 4.1.1 cornal模块分析 (2) 4.1.2 cornal模块VHDL程序描述 (3) 4.2送数据模块的设计 (7) 4.2.1 ch41a模块分析 (7) 4.2.2 ch41a模块VHDL程序描述 (7) 4.3产生数码管片选信号模块的设计 (8) 4.3.1 sel模块分析 (8) 4.3.2 sel模块VHDL程序描述 (9) 4.4 七段译码器模块的设计 (10) 4.4.1 disp模块分析 (10) 4.4.2 disp模块VHDL程序描述 (10) 4.5 顶层原理图设计 (11) 5 各模块的时序仿真图 (12) 6 总结 (14) 7 参考文献 (14)

乒乓游戏机 1 设计目的 掌握熟悉的使用Quartus II 9.1软件的原理图绘制,程序的编写,编译以及仿真。体会使用EDA综合过程中电路设计方法和设计思路的不同。掌握使用EDA工具设计乒乓游戏机的的设计思路和设计方法。 2 设计要求和任务 2.1设计任务 设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和几分。 2.2设计要求 (1)甲乙双方各在不同的位置发球或击球。 (2)乒乓球的位置和移动方向由灯亮及依次点亮的方向决定,球移动的速度为0.1-0.5秒移动一位。 (3)11分为一局,甲乙双方都应设置各自的几分牌,任何一方先记满11分,该方胜出。当记分牌清零后,重新开始。 3 总体设计思路及原理描述 由乒乓游戏机功能,用原理图作为顶层模块,再将底层划分成四个小模块来实现:(1)cornal模块:整个程序的核心,它实现了整个系统的全部逻辑功能; (2)ch41a模块:在数码的片选信号时,送出相应的数据; (3)sel模块:产生数码管的片选信号; (4)disp模块:7段译码器。

【精品】乒乓球比赛的作文300字集锦八篇

【精品】乒乓球比赛的作文300字集锦八篇 乒乓球比赛的作文300字篇1 七月一日我正式加入乒乓球训练班了,真高兴! 第一天,教练教我们练习基本姿势:右脚在前,左脚在后,小臂与手腕,乒乓球拍尽量成一条直线,成一个整体挥动球拍。就这样一早晨练了1000个姿势,真难。平时打球自我感觉还不错,没想到正式训练还真不是那么回事哦。下课后,教练还让回家再练习500个。天啊,还真不容易。 哈!今天教练看我姿势练习的还不错,让我学着接球。接球的时候要按着练习的姿势,球怎么也不听我使唤。心急,可越急越接不着球,甚至姿势也会变形了。这时教练鼓励我说:才练习,就能接上几个球很不错了,不要着急。是啊,书上也讲过:冰冻三尺非一日之寒!慢慢我静下心来,我要好好坚持训练,一定能行。 第六天了,今天终于可以和教练对接球了。我真是太高兴了!老师还说我和孙晨是速成班的呢。经过这几天的训练,我知道了:无论学习任何技能一定要从基本功练起,并要有持之以恒,坚持不懈的精神。这个暑假我一定会坚持好好练习乒乓球的。 乒乓球比赛的作文300字篇2 星期六,我和爸爸、妈妈举行了一场乒乓球比赛。 我和爸爸、妈妈来到乒乓球台旁边,便开始了比赛。我和爸爸的球艺都在妈妈之上,果然我俩都打赢了我的妈妈,下面就该我和爸爸

争第一了。 我和爸爸拿起球拍,先热了热身,比赛开始了,爸爸先发球,他一上来就来了个低球,我看准时机,把球打了回去,爸爸不知我耍什么花样,于是就在那儿守着,球过去了,给了爸爸一个擦边球,爸爸不知道我会使这招,来不及防守,接下来,我连连得手,于是爸爸输掉了这一回合。第二局,我们打的非常激烈,经过很长一段时间,我们打成了12平。不过,发球权在爸爸的手里,爸爸连赢两球,赢了这一局。第三局开始了,爸爸先发球,他来了个斜球,我迅速跑过去,把球还了回去。爸爸看准用绝招的时机,打起了个旋球,我不知道球往那儿跑,输掉了这一局。最终,爸爸赢了我,又坐上了冠军的宝座。爸爸对我说:“要想赢,就要有自己的绝招,要注意观察对方的弱点,出奇制胜。”从此以后,我把这句话牢牢地记在心中。 虽然我输了,但是我学到了旋球。 乒乓球比赛的作文300字篇3 “耶——”你一定会奇怪这声音是从哪里来的,作文夹乒乓球比赛。告诉你吧,是我们的作文班,因为现在我们要举行一场别开生面的夹乒乓球比赛。 老师宣布了规则:必须一个一个地夹过去,掉下地继续,另外还不许用手触摸,“比赛开始。”裁判员——老师一声令下。第一场比赛开始了,观众们欢呼雀跃。丰凡杰最“杯具”,他夹了又掉,掉了又夹,可谓是“屡夹屡掉”,笑得我们上气不接下气。第二场,我大难临头,老师竟挑我上场,我心里像装了只小兔子似的,不停乱跳。

乒乓球比赛游戏机设计总结报告

现代电子与系统设计总结报告 项目名称:乒乓球比赛游戏机 班级:物科院1005 姓名:周** 沈** 学号:071005** 071005** 指导老师:倪** 提交日期:2012/12/23

封面 (1) 一、设计要求 (3) 二、设计的具体实现 (3) 1、系统框图 (3) 2、甲乙方得分显示模块 (4) 3、加减计数模块 (6) 4、译码模块 (8) 5、控制模块 (9) 6、核心问题 (12)

三、结果分析 (15) 四、附件 (16) 1、完整电路图 (16) 2、各个自制元件的VHDL程序 (16) 一、设计要求 设计一个乒乓球比赛游戏机 (1)设计一个由甲乙双方参加,有裁判的三人乒乓球游戏机; (2)用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,期中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节; (3)当“球”(点亮的那支LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球,若击中则球向相反方向移动,若未击中,球掉出桌外,则对方得一分; (4)设计自动计分电路,甲乙双方各用两位数码管进行计分显示,每记满11分为1局;(5)甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效;

(6)其他。 二、设计的具体实现 1、系统框图 此系统框图分为控制模块,加/减计数模块,译码显示模块和甲乙方得分显示模块。 2、甲乙方得分显示模块 甲乙双方各用两位数码管进行计分显示,通过控制模块加以控制。 甲乙得分的计数: 图形: VHDL语言: LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

乒乓球比赛游戏机设计

目录 1 引言 (1) 1.1设计背景 (1) 1.2VHDL简介 (1) 1.3Q UARTUSⅡ简介 (3) 2 乒乓球比赛游戏机的设计 (3) 2.1系统设计要求 (3) 2.2设计思路 (4) 3 乒乓球比赛游戏机的实现 (6) 3.1乒乓球比赛游戏机的顶层原理图 (6) 3.2系统各功能模块的实现 (6) 3.2.1 比赛控制模块 (6) 3.2.2 记分模块 (7) 3.2.3 数码管显示模块 (8) 4 各个模块的仿真以及系统仿真、分析 (9) 4.1比赛控制模块仿真波形 (9) 4.2记分模块仿真波形图 (9) 4.3管脚锁定 (10) 4.4系统的波形仿真 (11) 4.5显示结果的几种情况 (14) 5 总结 (15) 5.1设计制作过程中遇到的问题及解决方案 (15) 5.2本设计有以下几个可以改进的地方 (15) 参考文献 (16) 附录 (17) 游戏控制模块的VHDL程序 (17) 记分模块的VHDL程序 (19) 动态扫描模块的VHDL程序 (20) 译码器模块的VHDL程序 (21)

1 引言 1.1 设计背景 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、Verilog HDL、ABEL等[2][3][4]。VHDL则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE 标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA 公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,并且成为了标准化的硬件描述语言,其优点如下: (1)功能强大和设计灵活。一个简洁的使用VHDL语言编写的程序就可以描述一个复杂的逻辑电路,因为VHDL拥有强大的语言结构[6]。VHDL多层次的设计描述功能可以有效地控制设计的实现,支持设计库和可重复使用的元件生成,还支持多种设计方式,如层次化设计、模块化设计和同步、异步和随机电路设计。 (2)与具体器件无关。用VHDL设计硬件电路时不用先确定设计要用到哪种器件,也不用特别熟悉器件的内部结构,这样可以使设计人员专注于进行系统设计。设计完成后,可以根据消耗的资源选择合适的器件,而不造成资源的浪费。 (3)很强的移植能力。VHDL由很多不同的工具支持,同一个设计的程序可以在包括综合工具、仿真工具、系统平台等工具中使用。 (4)强大的硬件描述能力。VHDL可以描述系统级电路和门级电路,而且描述方式多样,可以采用行为描述、寄存器传输描述或者结构描述,也可以用其混合描述方式。同时,VHDL可以准确地建立硬件电路模型,因为它支持惯性延迟和

数电课设-乒乓球游戏机

《数字电子技术基础》课程设计说明书题目:乒乓球游戏机 学生姓名: 学号: 院(系):理学院 专业:信息与计算科学 指导教师:陈敏歌 2012 年 5 月 19 日

目录 1﹑设计题目 (1) 2、设计任务 (1) 2.1选题意义 (1) 2.2设计目标 (1) 2.3设计要求 (1) 3、程序设计与实现 (1) 3.1电路结构 (1) 3.2球台电路设计 (2) 3.3驱动控制电路设计 (3) 3.4计分电路设计 (4) 3.5总电路的设计 (5) 4、设计难点与解决方法 (6) 5、设计不足之处 (6) 6、收获与体会 (7) 文献参考 (8) 附录1:元器件清单﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍9

1.设计题目 乒乓球游戏机 2.设计任务 2.1选题背景 乒乓球游戏机通过十分巧妙的设计采用数字芯片实现乒乓球左右移动、选手击球、得分、累计得分超10报警等功能。该设计三个双向开关J1、J2、J3分别作为裁判和游戏者A、B,且选手可以从译码显示器上直接读出自己的得分,具有操作简单、结构清晰的优点。 2.2设计目标 该乒乓球游戏机电路主要有3块电路:球台驱动电路、控制电路和计分电路组成。其中球台驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球、裁判对系统初始化的功能;积分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10分时报警通知裁判对系统进行初始化以便重新开始比赛计分的功能。 2.3设计要求 1).用8个发光二极管表示球,用两个按钮分别表示AB两个球员的球拍; 2).一方发球后,球一固定的速度向另一方运动(发光二极管依次点亮),当球达到最后一个二极管时,对方击球(按下按钮)球向相反的反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规双方各加1分; 3).A、B各有一个数码管计分; 4).裁判有一个按钮,用来对系统初始化,每次得分后按下一次。 3.电路设计与实现 3.1电路结构 根据设计要求,该电路须设计3块短路完成球台驱动、控制和计分功能。当裁判按下启动按钮时,游戏机电路开始运作。系统以CP信号作为球台驱动电路和计数器计分的时钟信号,以8个二极管的依次被点亮代表球的移动位置,双向选择开关J2、J3控制发球、击球信号。电路设计原理图如下图1:

一次精彩的乒乓球比赛

一次精彩的乒乓球比赛 淅川县厚坡乡王沟小学五年级 李凯 1993年5月1日 星期一下午课外活动,我们五年级全体同学,兴致勃勃地参加了学校组织的一次别开生面的乒乓球赛。 钟声过后,大家围聚在校园球案的两边,等待着比赛的开始。这时,从人群中挤出一位满面春风,身材苗条的青年女教师——李秀莲老师。顿时,雷鸣般的掌声响彻整个校园。她向同学们频频招手致意。详细的讲了这次比赛的意义及应注意的事项。接着她用洪亮的声音宣布:“一分队李凯二分队杨文锋上场——”。 只见李凯雄赳赳地向球台的南头走去,紧握乒乓球拍,眼睛盯着对方;杨文锋精神抖擞地站到案子的北边。双手摆好了架势。裁判员一声令下:“开始!” 第一个发球的是杨文锋。他把球抛起,球像离弦的箭飞了过来。李凯从容不迫,轻巧自如地把球挡了过去。文锋没来得及接,球已落地。这一招失败后,文锋并不气馁,咬紧嘴唇,皱紧眉头,双脚一跳,把球向对方火力薄弱的地方发去。李凯没来得及防备,球出界了。就这样你赢一个他赢一个地循环出现,一直到十平。气氛紧张起来,文锋的拉拉队,开始助威,眨眼的眨眼,比划的比划,都跃跃欲试。文锋急出了汗,哪里顾得擦一下,狠命的左一板,右一板地进攻。李凯看准对方打过来的一个稍高球,抓住有利时机,纵身一跃,使尽平生气力扣杀,球擦网而过出了案。顿时,李凯队的拉拉队掌声齐鸣,他的脸上也露出了得意的微笑。十比十一,裁判员紧张而又焦急的宣布。最后关键的一个决定着谁胜谁负。李凯分队的拉拉队,干脆做出用力猛杀地动作,示意他来个旗开得胜。李凯装出一副泰山压顶的架势,好似这会打过去的球,一定是个长线球。文锋看着对方的架势便往后退。李凯急中生智,却打了个又旋又软的球。不好!说时迟,那时快,文锋看事不妙,纵身一跳可来不及了。“真棒啊!”文锋队的拉拉队为他绝妙的一招高声喝彩。李凯眼疾手快,看对方赤手空拳,猛抽一板,球“嗖”地落在对方的案上,而后滴溜溜地滚到地上。裁判员正式宣布:“十比十二,李凯为冠军。”一刹那间,雷鸣般的掌声响彻整个校园。 文峰的脸唰的红了。李凯抿嘴一笑,跑过去握住杨文锋的手,朝文锋的脸上看了又看,低声地说了一阵。文锋微笑着使劲点了点头,紧了紧裤带,拉着李凯的手,喜眯眯的钻进了人群。评语: 紧张动人的乒乓球比赛写的活灵活现,扣人心弦,`给读者以振奋之感。小作者把李凯,文锋奋力拼搏,那精湛的球艺描写的惟妙惟肖。结尾一段显示出了他们再比赛中“友谊第一,比赛第二。”的思想风貌,从而显示出了一代少年机智,勇敢的高大形象。

相关主题